Skip to main content

2017 | OriginalPaper | Buchkapitel

Design Techniques of 5G Mobile Devices in the Dark Silicon Era

verfasst von : Imed Ben Dhaou, Hannu Tenhunen

Erschienen in: 5G Mobile Communications

Verlag: Springer International Publishing

Aktivieren Sie unsere intelligente Suche, um passende Fachinhalte oder Patente zu finden.

search-config
loading …

Abstract

In the internet of things age, future communication technologies should provide the necessary bandwidth and latency for the connection of billion devices and the development of ubiquitous applications to improve the quality of life. The design of the prospected mobile communication system needs wide skills in wireless communication, analog circuit design, embedded system, microwave technology, and so forth. System level analyses, design space exploration, performance tradeoffs are some key steps that enable the design of low-cost, energy efficient, ubiquitous and flexible transceiver. This chapter provides comprehensive design techniques for 5G mobile communication in the dark silicon era and using More than Moore technology (MtM).

Sie haben noch keine Lizenz? Dann Informieren Sie sich jetzt über unsere Produkte:

Springer Professional "Wirtschaft+Technik"

Online-Abonnement

Mit Springer Professional "Wirtschaft+Technik" erhalten Sie Zugriff auf:

  • über 102.000 Bücher
  • über 537 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Finance + Banking
  • Management + Führung
  • Marketing + Vertrieb
  • Maschinenbau + Werkstoffe
  • Versicherung + Risiko

Jetzt Wissensvorsprung sichern!

Springer Professional "Technik"

Online-Abonnement

Mit Springer Professional "Technik" erhalten Sie Zugriff auf:

  • über 67.000 Bücher
  • über 390 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Maschinenbau + Werkstoffe




 

Jetzt Wissensvorsprung sichern!

Springer Professional "Wirtschaft"

Online-Abonnement

Mit Springer Professional "Wirtschaft" erhalten Sie Zugriff auf:

  • über 67.000 Bücher
  • über 340 Zeitschriften

aus folgenden Fachgebieten:

  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Finance + Banking
  • Management + Führung
  • Marketing + Vertrieb
  • Versicherung + Risiko




Jetzt Wissensvorsprung sichern!

Literatur
1.
Zurück zum Zitat I.B. Dhaou, An electronic system to combat drifting and traffic noises on Saudi roads, in Proceeding of IV (2012), pp. 217–222 I.B. Dhaou, An electronic system to combat drifting and traffic noises on Saudi roads, in Proceeding of IV (2012), pp. 217–222
2.
Zurück zum Zitat F. Boccardi, R.W. Heath Jr., A. Lozano, T.L. Marzetta, P. Popovski, Five disruptive technology directions for 5G. IEEE Commun. Mag. 2 (52), 74–80 (2014)CrossRef F. Boccardi, R.W. Heath Jr., A. Lozano, T.L. Marzetta, P. Popovski, Five disruptive technology directions for 5G. IEEE Commun. Mag. 2 (52), 74–80 (2014)CrossRef
3.
Zurück zum Zitat K.E. Skouby, P. Lynggaard, Smart home and smart city solutions enabled by 5G, IoT, AAI and CoT services, in Proceedings of IC3I (2014), pp. 874–878 K.E. Skouby, P. Lynggaard, Smart home and smart city solutions enabled by 5G, IoT, AAI and CoT services, in Proceedings of IC3I (2014), pp. 874–878
4.
Zurück zum Zitat J. Andrews, S. Buzzi, W. Choi, S. Hanly, A. Lozano, A. Soong, J. Zhang, What will 5G be? IEEE J. Select. Areas Commun. 32 (6), 1065–1082 (2014)CrossRef J. Andrews, S. Buzzi, W. Choi, S. Hanly, A. Lozano, A. Soong, J. Zhang, What will 5G be? IEEE J. Select. Areas Commun. 32 (6), 1065–1082 (2014)CrossRef
5.
Zurück zum Zitat T.H. Lee, The Design of CMOS Radio-Frequency Integrated Circuits, 2nd edn. (Cambridge University Press, Cambridge, 2003)CrossRef T.H. Lee, The Design of CMOS Radio-Frequency Integrated Circuits, 2nd edn. (Cambridge University Press, Cambridge, 2003)CrossRef
6.
Zurück zum Zitat P.B. Kenington, RF and Baseband Techniques for Software Defined Radio (Artech House, Boston, 2005) P.B. Kenington, RF and Baseband Techniques for Software Defined Radio (Artech House, Boston, 2005)
7.
Zurück zum Zitat G. Fettweis, M. Lohning, D. Petrovic, M. Windisch, P. Zillmann, W. Rave, Dirty RF: a new paradigm, in Proceeding of PIMRC, vol. 4 (2005), pp. 2347–2355 G. Fettweis, M. Lohning, D. Petrovic, M. Windisch, P. Zillmann, W. Rave, Dirty RF: a new paradigm, in Proceeding of PIMRC, vol. 4 (2005), pp. 2347–2355
8.
Zurück zum Zitat W. Namgoong, T.H. Meng, Direct-conversion RF receiver design. IEEE Trans. Commun. 49 (3), 518–529 (2002)CrossRefMATH W. Namgoong, T.H. Meng, Direct-conversion RF receiver design. IEEE Trans. Commun. 49 (3), 518–529 (2002)CrossRefMATH
9.
Zurück zum Zitat L. Noor, A. Anpalagan, Direct conversion receiver for radio communication systems. IEEE Potentials 24 (5), 32–35 (2005)CrossRef L. Noor, A. Anpalagan, Direct conversion receiver for radio communication systems. IEEE Potentials 24 (5), 32–35 (2005)CrossRef
10.
Zurück zum Zitat T. Jiang, Y. Wu, An overview: peak-to-average power ratio reduction techniques for OFDM signals. IEEE Trans. Broadcast. 54 (2), 257–268 (2008)CrossRef T. Jiang, Y. Wu, An overview: peak-to-average power ratio reduction techniques for OFDM signals. IEEE Trans. Broadcast. 54 (2), 257–268 (2008)CrossRef
11.
Zurück zum Zitat I.B. Dhaou, C.C. Logothetis, H. Tehnunen, On the robustness and performance tradeoffs for OFDM channel estimation, in Proceedings of the 13th International Conference On Wireless Communication, vol. 1 (2001), pp. 41–48 I.B. Dhaou, C.C. Logothetis, H. Tehnunen, On the robustness and performance tradeoffs for OFDM channel estimation, in Proceedings of the 13th International Conference On Wireless Communication, vol. 1 (2001), pp. 41–48
12.
Zurück zum Zitat D. Tandur, M. Moonen, Compensation of RF impairments in MIMO OFDM systems, in Proceeding of ICASSP (2008), pp. 3097–3100 D. Tandur, M. Moonen, Compensation of RF impairments in MIMO OFDM systems, in Proceeding of ICASSP (2008), pp. 3097–3100
13.
Zurück zum Zitat I.B. Dhaou, Client-server network architecture for safe pilgrim journey in the Kingdom of Saudi Arabia, in Proceeding of IV (2010), pp. 1043–1048 I.B. Dhaou, Client-server network architecture for safe pilgrim journey in the Kingdom of Saudi Arabia, in Proceeding of IV (2010), pp. 1043–1048
14.
Zurück zum Zitat W.Z. Khan, X. Yang, M.Y. Aalsalem, Q. Arshad, Mobile phone sensing systems: a survey. Commun. Surv. Tutor. 15 (1), 402–427 (2013)CrossRef W.Z. Khan, X. Yang, M.Y. Aalsalem, Q. Arshad, Mobile phone sensing systems: a survey. Commun. Surv. Tutor. 15 (1), 402–427 (2013)CrossRef
15.
Zurück zum Zitat R.K. Cavin, P. Lugli, V.V. Zhirnov, Science and engineering beyond moore’s law. Proc. IEEE 100, 1720–1749 (2012)CrossRef R.K. Cavin, P. Lugli, V.V. Zhirnov, Science and engineering beyond moore’s law. Proc. IEEE 100, 1720–1749 (2012)CrossRef
16.
Zurück zum Zitat K. Roy, J. Byunghoo, A. Raghunathan, Integrated systems in the more-than-moore era: designing low-cost energy-efficient systems using heterogeneous components, in Proceeding of VLSID (2010), pp. 464–469 K. Roy, J. Byunghoo, A. Raghunathan, Integrated systems in the more-than-moore era: designing low-cost energy-efficient systems using heterogeneous components, in Proceeding of VLSID (2010), pp. 464–469
17.
Zurück zum Zitat W. Arden, M. Brillout, P. Cogez, M. Graef, B. Huizing, R. Mahnkopf More-than-moore white paper, International Roadmap for Semiconductor, ITRS, 2010 W. Arden, M. Brillout, P. Cogez, M. Graef, B. Huizing, R. Mahnkopf More-than-moore white paper, International Roadmap for Semiconductor, ITRS, 2010
18.
Zurück zum Zitat G.Q. Zhang, A.J. van Roosmalen (eds.), More than Moore Creating High Value Micro/Nanoelectronics Systems (Springer, New York, 2009) G.Q. Zhang, A.J. van Roosmalen (eds.), More than Moore Creating High Value Micro/Nanoelectronics Systems (Springer, New York, 2009)
19.
Zurück zum Zitat N. Weste, D. Harris, Principles of CMOS VLSI Design, 4th edn. (Pearson, Boston, 2011) N. Weste, D. Harris, Principles of CMOS VLSI Design, 4th edn. (Pearson, Boston, 2011)
21.
Zurück zum Zitat J. Kao, S. Narendra, A. Chandrakasan, Subthreshold, leakage modeling and reduction techniques, in Proceeding of ICCAD (2002), pp. 141–148 J. Kao, S. Narendra, A. Chandrakasan, Subthreshold, leakage modeling and reduction techniques, in Proceeding of ICCAD (2002), pp. 141–148
22.
23.
Zurück zum Zitat J. Howard et al., A 48-Core IA-32 processor in 45 nm CMOS using on-die message-passing and DVFS for performance and power scaling. IEEE J. Solid-State Circuits 46 (1), 173–183 (2011) J. Howard et al., A 48-Core IA-32 processor in 45 nm CMOS using on-die message-passing and DVFS for performance and power scaling. IEEE J. Solid-State Circuits 46 (1), 173–183 (2011)
24.
Zurück zum Zitat I.B. Dhaou, Efficient interconnect modeling for SoC systems with application to interconnection delay estimation, Technical Report, TUCS 2003 I.B. Dhaou, Efficient interconnect modeling for SoC systems with application to interconnection delay estimation, Technical Report, TUCS 2003
25.
Zurück zum Zitat I.B. Dhaou, H. Tenhunen, V. Sundararajan, K.K. Parhi, Energy efficient signaling in deep-submicron technology, in Proceeding of ISCAS, vol. 5 (2001), pp. 411–414 I.B. Dhaou, H. Tenhunen, V. Sundararajan, K.K. Parhi, Energy efficient signaling in deep-submicron technology, in Proceeding of ISCAS, vol. 5 (2001), pp. 411–414
26.
Zurück zum Zitat I.B. Dhaou, Low-power design techniques in deep-submicron with application to wireless transceiver design, Ph.D. Dissertation, Royal Institute of Technology, Sweden, 2002 I.B. Dhaou, Low-power design techniques in deep-submicron with application to wireless transceiver design, Ph.D. Dissertation, Royal Institute of Technology, Sweden, 2002
27.
Zurück zum Zitat E. Mensink, D. Schinkel, E.A.M. Klumperink, E. van Tuijl, B. Nauta, Power efficient gigabit communication over capacitively driven RC-limited on-chip interconnects. IEEE J. Solid-State Circuits 45 (2), 447–457 (2010)CrossRef E. Mensink, D. Schinkel, E.A.M. Klumperink, E. van Tuijl, B. Nauta, Power efficient gigabit communication over capacitively driven RC-limited on-chip interconnects. IEEE J. Solid-State Circuits 45 (2), 447–457 (2010)CrossRef
28.
Zurück zum Zitat J. Nurmi, H. Tenhunen, J. Isoaho, A. Jantsch (eds.), Interconnect-Centric Design for Advanced SoC and NoC (Springer, New York, 2005)MATH J. Nurmi, H. Tenhunen, J. Isoaho, A. Jantsch (eds.), Interconnect-Centric Design for Advanced SoC and NoC (Springer, New York, 2005)MATH
29.
Zurück zum Zitat D. Khalil, D. Sinha, H. Zhou, Y. Ismail, A timing-dependent power estimation framework considering coupling. IEEE Trans. Very Large Scale Integr. VLSI Syst. 17 (6), 843–847 (2009)CrossRef D. Khalil, D. Sinha, H. Zhou, Y. Ismail, A timing-dependent power estimation framework considering coupling. IEEE Trans. Very Large Scale Integr. VLSI Syst. 17 (6), 843–847 (2009)CrossRef
30.
Zurück zum Zitat H. Tenhunen, A. Jantsch (eds.), Networks on Chip (Springer, New York, 2003) H. Tenhunen, A. Jantsch (eds.), Networks on Chip (Springer, New York, 2003)
31.
Zurück zum Zitat R. Marculescu, U. Ogras, L. Peh, N. Jerger, Y. Hoskote, Outstanding research problems in NoC design: system, microarchitecture, and circuit Perspectives. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 28 (1), 3–21 (2009)CrossRef R. Marculescu, U. Ogras, L. Peh, N. Jerger, Y. Hoskote, Outstanding research problems in NoC design: system, microarchitecture, and circuit Perspectives. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 28 (1), 3–21 (2009)CrossRef
32.
Zurück zum Zitat S. Deb, K. Chang, X. Yu, S.P. Sah, M. Cosic, A. Ganguly, P.P Pande, B. Belzer, D. Heo, Design of an energy-efficient CMOS-compatible NoC architecture with millimeter-wave wireless interconnects. IEEE Trans. Comput. 62 (12), 2382–2396 (2013) S. Deb, K. Chang, X. Yu, S.P. Sah, M. Cosic, A. Ganguly, P.P Pande, B. Belzer, D. Heo, Design of an energy-efficient CMOS-compatible NoC architecture with millimeter-wave wireless interconnects. IEEE Trans. Comput. 62 (12), 2382–2396 (2013)
33.
Zurück zum Zitat H. Esmaeilzadeh et al., Dark silicon and the end of multicore scaling, in Proceeding of 38th International Symposium on Computer Architecture (ISCA) (2011), pp. 365–376 H. Esmaeilzadeh et al., Dark silicon and the end of multicore scaling, in Proceeding of 38th International Symposium on Computer Architecture (ISCA) (2011), pp. 365–376
34.
Zurück zum Zitat H. Esameilzadeh, E. Blem, R.St. Amant, K. Sankaralingam, D. Burger, Power limitation and dark silicon challenge the future of multicore. ACM Trans. Comput. Syst. 30 (3), 11:1–11:27, August 2012 H. Esameilzadeh, E. Blem, R.St. Amant, K. Sankaralingam, D. Burger, Power limitation and dark silicon challenge the future of multicore. ACM Trans. Comput. Syst. 30 (3), 11:1–11:27, August 2012
35.
Zurück zum Zitat K.K. Parhi, VLSI Digital Signal Processing Systems: Design and Implementation (Wiley Interscience, New York, 1999) K.K. Parhi, VLSI Digital Signal Processing Systems: Design and Implementation (Wiley Interscience, New York, 1999)
36.
Zurück zum Zitat N. Petkov, Systolic Parallel Processing (North Holland Publishing, New York, 1992)MATH N. Petkov, Systolic Parallel Processing (North Holland Publishing, New York, 1992)MATH
37.
Zurück zum Zitat N.G. Hotta, J. Sampson, Q. Zheng, V. Bhatt, J. Auricchop, GreenDroid: an architecture for the dark silicon age, in Proceeding of ASP-DAC (2012), pp. 100–105 N.G. Hotta, J. Sampson, Q. Zheng, V. Bhatt, J. Auricchop, GreenDroid: an architecture for the dark silicon age, in Proceeding of ASP-DAC (2012), pp. 100–105
38.
Zurück zum Zitat Y. Turkhia, B. Raghunathan, S. Garg, D. Marculescu, HaDeS: architectural synthesis for heterogeneous dark silicon chip multi-processors, in Proceeding of DAC (2013), pp. 1–7 Y. Turkhia, B. Raghunathan, S. Garg, D. Marculescu, HaDeS: architectural synthesis for heterogeneous dark silicon chip multi-processors, in Proceeding of DAC (2013), pp. 1–7
39.
Zurück zum Zitat R. David, P. Bogdan, R. Marculescu, U. Ogras, Dynamic power management of voltage-frequency island partitioned networks-on-chip using intel’s single-chip cloud computer, in Proceeding of NoCS (2011), pp. 257–258 R. David, P. Bogdan, R. Marculescu, U. Ogras, Dynamic power management of voltage-frequency island partitioned networks-on-chip using intel’s single-chip cloud computer, in Proceeding of NoCS (2011), pp. 257–258
40.
Zurück zum Zitat M.K. Yadav, M.R. Casu, M. Zamboni, A simple DVFS controller for a NoC switch, in Proceeding of PRIME (2012), pp. 131–134 M.K. Yadav, M.R. Casu, M. Zamboni, A simple DVFS controller for a NoC switch, in Proceeding of PRIME (2012), pp. 131–134
41.
Zurück zum Zitat H. Bokhari, H. Javaid, M. Shafique, J. Henkel, darkNoC: designing energy-efficient network-on-chip with multi-vt cells for dark silicon, in Proceeding of DAC (2014), pp. 1–6 H. Bokhari, H. Javaid, M. Shafique, J. Henkel, darkNoC: designing energy-efficient network-on-chip with multi-vt cells for dark silicon, in Proceeding of DAC (2014), pp. 1–6
42.
Zurück zum Zitat D. Frank, R. Dennard, E. Nowak, P. Solomon, Y. Taur, H.-S. P. Wong, Device scaling limits of Si MOSFETs and their application dependencies. Proc. IEEE 89 (3), 259–288 (2001)CrossRef D. Frank, R. Dennard, E. Nowak, P. Solomon, Y. Taur, H.-S. P. Wong, Device scaling limits of Si MOSFETs and their application dependencies. Proc. IEEE 89 (3), 259–288 (2001)CrossRef
43.
Zurück zum Zitat Y. Bin et al., FinFET scaling to 10 nm gate length, in Proceeding of IEDM (2002), pp. 251–254 Y. Bin et al., FinFET scaling to 10 nm gate length, in Proceeding of IEDM (2002), pp. 251–254
44.
Zurück zum Zitat T.-J. King, FinFETs for nanoscale CMOS digital integrated circuits, in Proceeding of ICCAD (2005), pp. 207–210 T.-J. King, FinFETs for nanoscale CMOS digital integrated circuits, in Proceeding of ICCAD (2005), pp. 207–210
45.
Zurück zum Zitat Y.S. Chauhan, D.D. Lu, V. Sriramkumar, S. Khandelwal, J.P. Duarte, N. Payvadosi, A. Niknejad, Ch. Hu, FinFET Modeling for IC Simulation and Design: Using the BSIM-CMG Standard (Academic, London, 2015) Y.S. Chauhan, D.D. Lu, V. Sriramkumar, S. Khandelwal, J.P. Duarte, N. Payvadosi, A. Niknejad, Ch. Hu, FinFET Modeling for IC Simulation and Design: Using the BSIM-CMG Standard (Academic, London, 2015)
46.
Zurück zum Zitat D. Chen, N.K. Jha (eds.), Nanoelectronic Circuit Design (Springer, New York, 2011) D. Chen, N.K. Jha (eds.), Nanoelectronic Circuit Design (Springer, New York, 2011)
47.
Zurück zum Zitat A. Mutterja, N. Agarwal, N.K. Jha, CMOS logic design with independent gate FinFETs, in Proceeding of ICCAD (2007), pp. 560–567 A. Mutterja, N. Agarwal, N.K. Jha, CMOS logic design with independent gate FinFETs, in Proceeding of ICCAD (2007), pp. 560–567
48.
Zurück zum Zitat A. Shafaei, Y. Wang, S. Ramadurgam, Y. Xue, P. Bogdan, M. Pedram, Analyzing the dark silicon phenomenon in a many-core chip multi-processor under deeply-scaled process technologies, in Proceeding of GLSVLSI (2015), pp. 127–132 A. Shafaei, Y. Wang, S. Ramadurgam, Y. Xue, P. Bogdan, M. Pedram, Analyzing the dark silicon phenomenon in a many-core chip multi-processor under deeply-scaled process technologies, in Proceeding of GLSVLSI (2015), pp. 127–132
49.
Zurück zum Zitat V. Subramanian, B. Parvais, J. Borremans, A. Mercha, D. Linten, P. Wambacq, J. Loo, M. Dehan, C. Gustin, N. Collaert, S. Kubicek, R. Lander, J. Hooker, F. Cubaynes, S. Donnay, M. Jurczak, G. Groeseneken, W. Sansen, S. Decoutere, Planar bulk MOSFET versus FinFETs: an analog/RF perspective, IEEE Trans. Electron Devices 53 (12), 3071–3079 (2006)CrossRef V. Subramanian, B. Parvais, J. Borremans, A. Mercha, D. Linten, P. Wambacq, J. Loo, M. Dehan, C. Gustin, N. Collaert, S. Kubicek, R. Lander, J. Hooker, F. Cubaynes, S. Donnay, M. Jurczak, G. Groeseneken, W. Sansen, S. Decoutere, Planar bulk MOSFET versus FinFETs: an analog/RF perspective, IEEE Trans. Electron Devices 53 (12), 3071–3079 (2006)CrossRef
50.
Zurück zum Zitat M. Dehan et al., Perspectives of (sub-) 32 nm CMOS for analog/RF and mm-wave applications, in Proceeding of EuMIC, 27–28 October 2008, pp. 103–106 M. Dehan et al., Perspectives of (sub-) 32 nm CMOS for analog/RF and mm-wave applications, in Proceeding of EuMIC, 27–28 October 2008, pp. 103–106
51.
Zurück zum Zitat S.K. Lin, J.L. Kuo, W. Huei, A 60 GHz sub-harmonic resistive FET mixer using 0.13 μm CMOS technology. IEEE Microw. Compon. Lett. 21 (10), 562–564 (2011) S.K. Lin, J.L. Kuo, W. Huei, A 60 GHz sub-harmonic resistive FET mixer using 0.13 μm CMOS technology. IEEE Microw. Compon. Lett. 21 (10), 562–564 (2011)
52.
Zurück zum Zitat S.C. Woo et al., Device design guidelines for nanoscale FinFETs in RF/analog applications. IEEE Electron Device Lett. 33 (9), 1234–1236 (2012) S.C. Woo et al., Device design guidelines for nanoscale FinFETs in RF/analog applications. IEEE Electron Device Lett. 33 (9), 1234–1236 (2012)
53.
Zurück zum Zitat S.E. Lyshevski, MEMS and NEMS: Systems, Devices, and Structures (CRC Press, Boca Raton, 2001) S.E. Lyshevski, MEMS and NEMS: Systems, Devices, and Structures (CRC Press, Boca Raton, 2001)
Metadaten
Titel
Design Techniques of 5G Mobile Devices in the Dark Silicon Era
verfasst von
Imed Ben Dhaou
Hannu Tenhunen
Copyright-Jahr
2017
DOI
https://doi.org/10.1007/978-3-319-34208-5_14

Neuer Inhalt