Skip to main content

2018 | OriginalPaper | Buchkapitel

6. Design-Time Analysis for Fault-Tolerance

verfasst von : Anup Kumar Das, Akash Kumar, Bharadwaj Veeravalli, Francky Catthoor

Erschienen in: Reliable and Energy Efficient Streaming Multiprocessor Systems

Verlag: Springer International Publishing

Aktivieren Sie unsere intelligente Suche, um passende Fachinhalte oder Patente zu finden.

search-config
loading …

Abstract

This chapter solves the following problem. Given a heterogeneous multiprocessor system and a set of multimedia applications, how to assign and order tasks of every application on the component cores such that the total energy consumption is minimized while guaranteeing to satisfy performance requirements of these application under all possible fault-scenarios. The scope of this work is limited to permanent failures of processing cores. Following are the key contributions of this chapter:

Sie haben noch keine Lizenz? Dann Informieren Sie sich jetzt über unsere Produkte:

Springer Professional "Wirtschaft+Technik"

Online-Abonnement

Mit Springer Professional "Wirtschaft+Technik" erhalten Sie Zugriff auf:

  • über 102.000 Bücher
  • über 537 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Finance + Banking
  • Management + Führung
  • Marketing + Vertrieb
  • Maschinenbau + Werkstoffe
  • Versicherung + Risiko

Jetzt Wissensvorsprung sichern!

Springer Professional "Technik"

Online-Abonnement

Mit Springer Professional "Technik" erhalten Sie Zugriff auf:

  • über 67.000 Bücher
  • über 390 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Maschinenbau + Werkstoffe




 

Jetzt Wissensvorsprung sichern!

Springer Professional "Wirtschaft"

Online-Abonnement

Mit Springer Professional "Wirtschaft" erhalten Sie Zugriff auf:

  • über 67.000 Bücher
  • über 340 Zeitschriften

aus folgenden Fachgebieten:

  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Finance + Banking
  • Management + Führung
  • Marketing + Vertrieb
  • Versicherung + Risiko




Jetzt Wissensvorsprung sichern!

Fußnoten
1
This work is orthogonal to any fault-detection mechanism.
 
2
A fault-scenario (0,1) implies fault occurring first at core c 0 and then at core c 1. Thus, fault-scenario (0,1) is different from fault-scenario (1,0) implying a permutation in the fault-scenario computation.
 
Literatur
1.
Zurück zum Zitat D. Bertozzi, A. Jalabert, S. Murali, R. Tamhankar, S. Stergiou, L. Benini, G. De Micheli, NoC synthesis flow for customized domain specific multiprocessor systems-on-chip. IEEE Trans. Parallel Distrib. Syst. (TPDS) 16(2), 113–129 (2005) D. Bertozzi, A. Jalabert, S. Murali, R. Tamhankar, S. Stergiou, L. Benini, G. De Micheli, NoC synthesis flow for customized domain specific multiprocessor systems-on-chip. IEEE Trans. Parallel Distrib. Syst. (TPDS) 16(2), 113–129 (2005)
2.
Zurück zum Zitat J. Blazewicz, Scheduling dependent tasks with different arrival times to meet deadlines, in Proceedings of the International Workshop Organized by the Commision of the European Communities on Modelling and Performance Evaluation of Computer Systems (North-Holland Publishing Co., 1976), pp. 57–65 J. Blazewicz, Scheduling dependent tasks with different arrival times to meet deadlines, in Proceedings of the International Workshop Organized by the Commision of the European Communities on Modelling and Performance Evaluation of Computer Systems (North-Holland Publishing Co., 1976), pp. 57–65
3.
Zurück zum Zitat A. Das, A. Kumar, B. Veeravalli, Energy-aware communication and remapping of tasks for reliable multimedia multiprocessor systems, in Proceedings of the International Conference on Parallel and Distributed Systems (ICPADS) (IEEE Computer Society, 2012), pp. 564–571 A. Das, A. Kumar, B. Veeravalli, Energy-aware communication and remapping of tasks for reliable multimedia multiprocessor systems, in Proceedings of the International Conference on Parallel and Distributed Systems (ICPADS) (IEEE Computer Society, 2012), pp. 564–571
4.
Zurück zum Zitat A. Das, A. Kumar, Fault-aware task re-mapping for throughput constrained multimedia applications on NoC-based MPSoCs, in Proceedings of the International Symposium on Rapid System Prototyping (RSP) (IEEE, 2012), pp. 149–155 A. Das, A. Kumar, Fault-aware task re-mapping for throughput constrained multimedia applications on NoC-based MPSoCs, in Proceedings of the International Symposium on Rapid System Prototyping (RSP) (IEEE, 2012), pp. 149–155
5.
Zurück zum Zitat A. Das, A. Kumar, B. Veeravalli, Energy-aware task mapping and scheduling for reliable embedded computing systems. ACM Trans. Embed. Comput. Syst. (TECS) 13(2s), 72:1–72:27 (2014) A. Das, A. Kumar, B. Veeravalli, Energy-aware task mapping and scheduling for reliable embedded computing systems. ACM Trans. Embed. Comput. Syst. (TECS) 13(2s), 72:1–72:27 (2014)
6.
Zurück zum Zitat R. Dick, Embedded System Synthesis Benchmarks Suite (E3S) (2013) R. Dick, Embedded System Synthesis Benchmarks Suite (E3S) (2013)
7.
Zurück zum Zitat J. Hu, R. Marculescu, Energy-aware communication and task scheduling for network-on-chip architectures under real-time constraints, in Proceedings of the Conference on Design, Automation and Test in Europe(DATE) (IEEE Computer Society, 2004), p. 10234 J. Hu, R. Marculescu, Energy-aware communication and task scheduling for network-on-chip architectures under real-time constraints, in Proceedings of the Conference on Design, Automation and Test in Europe(DATE) (IEEE Computer Society, 2004), p. 10234
8.
Zurück zum Zitat L. Jiashu, A. Das, A. Kumar, A design flow for partially reconfigurable heterogeneous multi-processor platforms, in Proceedings of the International Symposium on Rapid System Prototyping (RSP) (IEEE, 2012) pp. 170–176 L. Jiashu, A. Das, A. Kumar, A design flow for partially reconfigurable heterogeneous multi-processor platforms, in Proceedings of the International Symposium on Rapid System Prototyping (RSP) (IEEE, 2012) pp. 170–176
9.
Zurück zum Zitat C. Lee, H. Kim, H.-W. Park, S. Kim, H. Oh, S. Ha, A task remapping technique for reliable multi-core embedded systems, in Proceedings of the Conference on Hardware/Software Codesign and System Synthesis (CODES+ISSS) (ACM, 2010), pp. 307–316 C. Lee, H. Kim, H.-W. Park, S. Kim, H. Oh, S. Ha, A task remapping technique for reliable multi-core embedded systems, in Proceedings of the Conference on Hardware/Software Codesign and System Synthesis (CODES+ISSS) (ACM, 2010), pp. 307–316
10.
Zurück zum Zitat S. Stuijk, M. Geilen, T. Basten, SDF3: SDF for free, in Proceedings of the International Conference on Application of Concurrency to System Design (ACSD) (IEEE Computer Society, 2006), pp. 276–278 S. Stuijk, M. Geilen, T. Basten, SDF3: SDF for free, in Proceedings of the International Conference on Application of Concurrency to System Design (ACSD) (IEEE Computer Society, 2006), pp. 276–278
11.
Zurück zum Zitat C. Yang, A. Orailoglu, Predictable execution adaptivity through embedding dynamic reconfigurability into static MPSoC schedules, in Proceedings of the Conference on Hardware/Software Codesign and System Synthesis (CODES+ISSS) (ACM, 2007), pp. 15–20 C. Yang, A. Orailoglu, Predictable execution adaptivity through embedding dynamic reconfigurability into static MPSoC schedules, in Proceedings of the Conference on Hardware/Software Codesign and System Synthesis (CODES+ISSS) (ACM, 2007), pp. 15–20
Metadaten
Titel
Design-Time Analysis for Fault-Tolerance
verfasst von
Anup Kumar Das
Akash Kumar
Bharadwaj Veeravalli
Francky Catthoor
Copyright-Jahr
2018
DOI
https://doi.org/10.1007/978-3-319-69374-3_6

Neuer Inhalt