Skip to main content

2014 | OriginalPaper | Buchkapitel

6. Fault-Tolerant Reconfigurable On-Chip-Network

verfasst von : Mohammad Hosseinabady, Jose L. Nunez-Yanez

Erschienen in: Energy-Efficient Fault-Tolerant Systems

Verlag: Springer New York

Aktivieren Sie unsere intelligente Suche, um passende Fachinhalte oder Patente zu finden.

search-config
loading …

Abstract

Fault-tolerant reconfigurable on-chip networks are infrastructure communication architectures for the future computing platforms that can run many applications with dynamic work-load in the presence of different types of faults in the system. This chapter introduces the architecture of such platforms and studies their fault-tolerant features.

Sie haben noch keine Lizenz? Dann Informieren Sie sich jetzt über unsere Produkte:

Springer Professional "Wirtschaft+Technik"

Online-Abonnement

Mit Springer Professional "Wirtschaft+Technik" erhalten Sie Zugriff auf:

  • über 102.000 Bücher
  • über 537 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Finance + Banking
  • Management + Führung
  • Marketing + Vertrieb
  • Maschinenbau + Werkstoffe
  • Versicherung + Risiko

Jetzt Wissensvorsprung sichern!

Springer Professional "Technik"

Online-Abonnement

Mit Springer Professional "Technik" erhalten Sie Zugriff auf:

  • über 67.000 Bücher
  • über 390 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Maschinenbau + Werkstoffe




 

Jetzt Wissensvorsprung sichern!

Literatur
1.
Zurück zum Zitat A. Jerraya, W.Wolf, Multiprocessor Systems-on-Chips. (Morgan Kaufmann Publishers, San Francisco, CA 94111, 2004) A. Jerraya, W.Wolf, Multiprocessor Systems-on-Chips. (Morgan Kaufmann Publishers, San Francisco, CA 94111, 2004)
2.
Zurück zum Zitat W. Wolf, A.A. Jerraya, G. Martin, Multiprocessor System-on-Chip (MPSoC) technology. IEEE Trans. Comput-Aided Des. Integr. Circuits Syst. 27(10), 1701–1713 (2008)CrossRef W. Wolf, A.A. Jerraya, G. Martin, Multiprocessor System-on-Chip (MPSoC) technology. IEEE Trans. Comput-Aided Des. Integr. Circuits Syst. 27(10), 1701–1713 (2008)CrossRef
4.
Zurück zum Zitat R. Mehra, L.M. Guerra, J.M. Rabaey, A partitioning scheme for optimizing interconnect power. IEEE J. Solid-State Circ. 32(3), 433–443 (1997)CrossRef R. Mehra, L.M. Guerra, J.M. Rabaey, A partitioning scheme for optimizing interconnect power. IEEE J. Solid-State Circ. 32(3), 433–443 (1997)CrossRef
5.
Zurück zum Zitat P. H. Wu, T. Y. Ho, Thermal-aware bus-driven floorplanning, in Proceedings of International Symposium on Low Power Electronics and Design (ISLPED), (2011), pp. 205–210 P. H. Wu, T. Y. Ho, Thermal-aware bus-driven floorplanning, in Proceedings of International Symposium on Low Power Electronics and Design (ISLPED), (2011), pp. 205–210
6.
Zurück zum Zitat J.Y. Chen, J. Wen-Ben, W. Jinn-Shyan, L. Hsueh-I, T.F. Chen, Segmented bus design for low-power systems. IEEE Trans. VLSI Syst 7(1), 25–29 (1999)CrossRef J.Y. Chen, J. Wen-Ben, W. Jinn-Shyan, L. Hsueh-I, T.F. Chen, Segmented bus design for low-power systems. IEEE Trans. VLSI Syst 7(1), 25–29 (1999)CrossRef
7.
Zurück zum Zitat ARM Ltd., International technology roadmap for semiconductors: system drivers, ARM Limited, ARM IHI 0011A, (1999) ARM Ltd., International technology roadmap for semiconductors: system drivers, ARM Limited, ARM IHI 0011A, (1999)
8.
Zurück zum Zitat R. Lu, Cheng-kok Koh, AMBA-Bus, a high performance bus architecture for system-on-chips, in Proceedings of International Conference Computer-Aided Design, (2003), pp. 8–12 R. Lu, Cheng-kok Koh, AMBA-Bus, a high performance bus architecture for system-on-chips, in Proceedings of International Conference Computer-Aided Design, (2003), pp. 8–12
9.
Zurück zum Zitat STMicroelectronics, STBus communication system concepts and definitions, STMicroelectronics, 14178 Rev 2, (2012) STMicroelectronics, STBus communication system concepts and definitions, STMicroelectronics, 14178 Rev 2, (2012)
10.
Zurück zum Zitat A.J. Martin, M. Nystrom, Asynchronous techniques for system-on-chip design. Proc. IEEE 94(6), 1089–1120 (2006)CrossRef A.J. Martin, M. Nystrom, Asynchronous techniques for system-on-chip design. Proc. IEEE 94(6), 1089–1120 (2006)CrossRef
11.
Zurück zum Zitat L. Benini, G. De Micheli, Networks on Chips: Technology and Tools (Morgan Kaufmann Publishers, Amsterdam, 2006) L. Benini, G. De Micheli, Networks on Chips: Technology and Tools (Morgan Kaufmann Publishers, Amsterdam, 2006)
12.
Zurück zum Zitat W. Dally, B. Towles, Route packets, not wires: on-chip interconnection networks, DAC’01, (2001), pp. 684–689 W. Dally, B. Towles, Route packets, not wires: on-chip interconnection networks, DAC’01, (2001), pp. 684–689
13.
Zurück zum Zitat S.R. Vangal, J. Howard, G. Ruhl, S. Dighe, H. Wilson, J. Tschanz, D. Finan, A. Singh, T. Jacob, S. Jain, V. Erraguntla, C. Roberts, Y. Hoskote, N. Borkar, S. Borkar, An 80-tile sub-100-W TeraFLOPS processor in 65-nm CMOS. IEEE J. Solid-State Circ. 43(1), 29–41 (2008)CrossRef S.R. Vangal, J. Howard, G. Ruhl, S. Dighe, H. Wilson, J. Tschanz, D. Finan, A. Singh, T. Jacob, S. Jain, V. Erraguntla, C. Roberts, Y. Hoskote, N. Borkar, S. Borkar, An 80-tile sub-100-W TeraFLOPS processor in 65-nm CMOS. IEEE J. Solid-State Circ. 43(1), 29–41 (2008)CrossRef
14.
Zurück zum Zitat D. Bertozzi, L. Benini, Xpipes: a network on chip architecture for gigascale systems-on-chip. IEEE Circ. Syst. Mag. 4(2), 18–31 (2004)CrossRef D. Bertozzi, L. Benini, Xpipes: a network on chip architecture for gigascale systems-on-chip. IEEE Circ. Syst. Mag. 4(2), 18–31 (2004)CrossRef
15.
Zurück zum Zitat S. Saponara, L. Fanucci, Homogeneous and heterogeneous MPSoC architectures with Network-On-Chip connectivity for low-power and real-time multimedia signal processing, Hindawi Publishing Corporation, VLSI Design, vol. 2012, Article ID 450302 S. Saponara, L. Fanucci, Homogeneous and heterogeneous MPSoC architectures with Network-On-Chip connectivity for low-power and real-time multimedia signal processing, Hindawi Publishing Corporation, VLSI Design, vol. 2012, Article ID 450302
16.
Zurück zum Zitat C. Jalier, D. Lattard, A. A. Jerraya, G. Sassatelli, P. Benoit, L. Torres, Heterogeneous vs homogeneous MPSoC approaches for a mobile LTE modem, IEEE Design, Automation and Test in Europe (DATE’10), (2010), pp. 184–189 C. Jalier, D. Lattard, A. A. Jerraya, G. Sassatelli, P. Benoit, L. Torres, Heterogeneous vs homogeneous MPSoC approaches for a mobile LTE modem, IEEE Design, Automation and Test in Europe (DATE’10), (2010), pp. 184–189
17.
Zurück zum Zitat “Information technology – open systems interconnection – basic reference model: the basic model,” ISO/IEC 7498–1:1994(E) “Information technology – open systems interconnection – basic reference model: the basic model,” ISO/IEC 7498–1:1994(E)
18.
Zurück zum Zitat L. Benini, D. Bertozzi, Network-on-chip architectures and design methods. IEE Proc.-Comput. Digit. Tech. 152(2), 261–271 (2005)CrossRef L. Benini, D. Bertozzi, Network-on-chip architectures and design methods. IEE Proc.-Comput. Digit. Tech. 152(2), 261–271 (2005)CrossRef
19.
Zurück zum Zitat M. Coppola, S. Curaba, M. D. Grammatikakis, G. Maruccia, F. Papariello, OCCN: A Network-On-Chip modeling and simulation framework, in Proceedings of the Design, Automation and Test in Europe Conference and Exhibition Designers’ Forum (DATE’04), (2004), pp. 174–179 M. Coppola, S. Curaba, M. D. Grammatikakis, G. Maruccia, F. Papariello, OCCN: A Network-On-Chip modeling and simulation framework, in Proceedings of the Design, Automation and Test in Europe Conference and Exhibition Designers’ Forum (DATE’04), (2004), pp. 174–179
21.
Zurück zum Zitat T. Bjerregaard, S. Mahadevan, A survey of research and practices of network-on-chip. ACM Comput. Surv. 38(1), 1–51 (2006)CrossRef T. Bjerregaard, S. Mahadevan, A survey of research and practices of network-on-chip. ACM Comput. Surv. 38(1), 1–51 (2006)CrossRef
22.
Zurück zum Zitat S. Pasricha, N. Dutt, On-Chip Communication Architectures: System on Chip Interconnect (Morgan Kaufmann Publishers, Amsterdam, 2008) S. Pasricha, N. Dutt, On-Chip Communication Architectures: System on Chip Interconnect (Morgan Kaufmann Publishers, Amsterdam, 2008)
23.
Zurück zum Zitat F. Steenhof, H. Duque, B. Nilsson, K. Goossens, R. P. Llopis, Networks on Chips for high-end consumer electronics TV system architectures, IEEE Design, Automation and Test in Europe (DATE’06), (2006), pp. 148–153 F. Steenhof, H. Duque, B. Nilsson, K. Goossens, R. P. Llopis, Networks on Chips for high-end consumer electronics TV system architectures, IEEE Design, Automation and Test in Europe (DATE’06), (2006), pp. 148–153
24.
Zurück zum Zitat J. Hu, R. Marculescu, Communication and task scheduling of application-specific networks-on-chip. IEE Proc. Comput. Digit. Tech. 152(5), 643–651 (2005)CrossRef J. Hu, R. Marculescu, Communication and task scheduling of application-specific networks-on-chip. IEE Proc. Comput. Digit. Tech. 152(5), 643–651 (2005)CrossRef
25.
Zurück zum Zitat R. Marculescu, U.Y. Ogras, L.S. Peh, N.E. Jerger, Y. Hoskote, Outstanding research problems in NoC design: system, microarchitecture, and circuit perspectives. IEEE Trans. Comput.-Aided Des. Integr. Circ. Syst. 28(1), 3–21 (2009)CrossRef R. Marculescu, U.Y. Ogras, L.S. Peh, N.E. Jerger, Y. Hoskote, Outstanding research problems in NoC design: system, microarchitecture, and circuit perspectives. IEEE Trans. Comput.-Aided Des. Integr. Circ. Syst. 28(1), 3–21 (2009)CrossRef
26.
Zurück zum Zitat S. Murali, G. De Micheli, Bandwidth-constrained mapping of cores onto NoC architectures, in Proceedings of Design, Automation and Test in Europe Conference, pp. 896–901, Feb 2004 S. Murali, G. De Micheli, Bandwidth-constrained mapping of cores onto NoC architectures, in Proceedings of Design, Automation and Test in Europe Conference, pp. 896–901, Feb 2004
27.
Zurück zum Zitat C. L. Chou, R. Marculescu, U. Ogras, S. Chatterjee, M. Kishinevsky, D. Loukianov, System interconnect design exploration for embedded MPSoCs, in Proceedings of International Workshop on System Level Interconnect Prediction (SLIP’11), (2011), pp. 1–8 C. L. Chou, R. Marculescu, U. Ogras, S. Chatterjee, M. Kishinevsky, D. Loukianov, System interconnect design exploration for embedded MPSoCs, in Proceedings of International Workshop on System Level Interconnect Prediction (SLIP’11), (2011), pp. 1–8
28.
Zurück zum Zitat K. Srinivasan, K. S. Chatha, G. Konjevod, Linear programming based techniques for synthesis of network-on-chip architectures, in Proceedings of IEEE International Conference on Computer Design: VLSI in Computers and Processors, (ICCD’04), (2004), pp. 422–429 K. Srinivasan, K. S. Chatha, G. Konjevod, Linear programming based techniques for synthesis of network-on-chip architectures, in Proceedings of IEEE International Conference on Computer Design: VLSI in Computers and Processors, (ICCD’04), (2004), pp. 422–429
29.
Zurück zum Zitat E. Bolotin, I. Cidon, R. Ginosar, A. Kolodny, Cost considerations in network on chip. Integ. VLSI J. 38(1), 19–42 (2004)CrossRef E. Bolotin, I. Cidon, R. Ginosar, A. Kolodny, Cost considerations in network on chip. Integ. VLSI J. 38(1), 19–42 (2004)CrossRef
30.
Zurück zum Zitat Y. Hu, Y. Zhu, H. Chen, R. Graham, C. Cheng, Communication latency aware low power NoC synthesis, in Proceedings of Design Automation Conference (DAC’06), (2006), pp. 574–579 Y. Hu, Y. Zhu, H. Chen, R. Graham, C. Cheng, Communication latency aware low power NoC synthesis, in Proceedings of Design Automation Conference (DAC’06), (2006), pp. 574–579
32.
Zurück zum Zitat J. A. de Oliveira, Nexperia computing architecture for connected consumer applications, in Proceedings of the 20th International Conference on VLSI Design, (2007) J. A. de Oliveira, Nexperia computing architecture for connected consumer applications, in Proceedings of the 20th International Conference on VLSI Design, (2007)
33.
Zurück zum Zitat O. Avaro, A. Eleftheriadis, C. Herpel, G. Rajan, L. Ward, MPEG-4 systems: overview. Signal Process. Image Commun. 15, 281–298 (2000)CrossRef O. Avaro, A. Eleftheriadis, C. Herpel, G. Rajan, L. Ward, MPEG-4 systems: overview. Signal Process. Image Commun. 15, 281–298 (2000)CrossRef
34.
Zurück zum Zitat S.S. Bhattacharyya, J. Eker, J.W. Janneck, C. Lucarz, M. Mattaveli, M. Raulet, Overview of the MPEG reconfigurable video coding framework. J. Signal Process. Syst. 63(2), 251–263 (2009)CrossRef S.S. Bhattacharyya, J. Eker, J.W. Janneck, C. Lucarz, M. Mattaveli, M. Raulet, Overview of the MPEG reconfigurable video coding framework. J. Signal Process. Syst. 63(2), 251–263 (2009)CrossRef
35.
Zurück zum Zitat P. v. Stralen, A. Pimentel, Scenario-based design space exploration of MPSoC, in Proceedings of IEEE International Conference on Computer Design (ICCD), (2010), pp. 305–312 P. v. Stralen, A. Pimentel, Scenario-based design space exploration of MPSoC, in Proceedings of IEEE International Conference on Computer Design (ICCD), (2010), pp. 305–312
36.
Zurück zum Zitat Y. Zhu, Efficient processor allocation strategies for mesh connected parallel computers. J. Parallel Distrib. Comput. 16(4), 328–337 (1992)CrossRefMATH Y. Zhu, Efficient processor allocation strategies for mesh connected parallel computers. J. Parallel Distrib. Comput. 16(4), 328–337 (1992)CrossRefMATH
37.
Zurück zum Zitat M. Hosseinabady, J. L. Nunez-Yanez, and A. M. Coppola, Task dispersal measurement in dynamic reconfigurable NoCs. IEEE Annual Symposium on VLSI, (2010), pp. 167–172 M. Hosseinabady, J. L. Nunez-Yanez, and A. M. Coppola, Task dispersal measurement in dynamic reconfigurable NoCs. IEEE Annual Symposium on VLSI, (2010), pp. 167–172
38.
Zurück zum Zitat M. Hosseinabady, J.L. Nunez-Yanez, Run-time stochastic task mapping on a large scale network-on-chip with dynamically reconfigurable tiles. IET Comput. Dig. Tech. 6(1), 1–11 (2012)CrossRef M. Hosseinabady, J.L. Nunez-Yanez, Run-time stochastic task mapping on a large scale network-on-chip with dynamically reconfigurable tiles. IET Comput. Dig. Tech. 6(1), 1–11 (2012)CrossRef
39.
Zurück zum Zitat C. Constantinescu, Trends and challenges in VLSI circuit reliability. IEEE Micro 23(4), 10–19 (2003)CrossRef C. Constantinescu, Trends and challenges in VLSI circuit reliability. IEEE Micro 23(4), 10–19 (2003)CrossRef
40.
Zurück zum Zitat M. Hosseinabady, P. Lotfi-Kamran, J. Mathew, S. Mohanty, D. Pradhan, single-event transient analysis in high speed circuits, in Proceedings of International Symposium on Electronic System Design (ISED’11), (2011), pp. 112–117 M. Hosseinabady, P. Lotfi-Kamran, J. Mathew, S. Mohanty, D. Pradhan, single-event transient analysis in high speed circuits, in Proceedings of International Symposium on Electronic System Design (ISED’11), (2011), pp. 112–117
41.
Zurück zum Zitat R. Dafali, J-Ph. Diguet, M. Sevaux, Key research issues for reconfigurable network-on-chip, in Proceedings of International Conference on Reconfigurable Computing and FPGAs, (2008), pp. 181–186 R. Dafali, J-Ph. Diguet, M. Sevaux, Key research issues for reconfigurable network-on-chip, in Proceedings of International Conference on Reconfigurable Computing and FPGAs, (2008), pp. 181–186
42.
Zurück zum Zitat C. Killian, C. Tanougast, F. Monteiro, A. Dandanche, A new efficient and reliable dynamically reconfigurable network-on-chip. J. Electrical Comput. Eng. Article ID 843239 (2012) C. Killian, C. Tanougast, F. Monteiro, A. Dandanche, A new efficient and reliable dynamically reconfigurable network-on-chip. J. Electrical Comput. Eng. Article ID 843239 (2012)
43.
Zurück zum Zitat T. Pionteck, R. Koch, C. Albrecht, Applying partial reconfiguration to networks-on-chips, in Proceedings of Field Programmable Logic and Applications (FPL’06), (2006), pp. 1–6 T. Pionteck, R. Koch, C. Albrecht, Applying partial reconfiguration to networks-on-chips, in Proceedings of Field Programmable Logic and Applications (FPL’06), (2006), pp. 1–6
44.
Zurück zum Zitat E.L.S. de Carvalho, N.L.V. Calazans, F.G. Moraes, Dynamic task mapping for MPSoCs. IEEE Des. Test Comput. 27(5), 26–35 (2010)CrossRef E.L.S. de Carvalho, N.L.V. Calazans, F.G. Moraes, Dynamic task mapping for MPSoCs. IEEE Des. Test Comput. 27(5), 26–35 (2010)CrossRef
45.
Zurück zum Zitat S. Manolache, P. Eles, Z. Peng, Fault and energy-aware communication mapping with guaranteed latency for applications implemented on NoC, in Proceedings of 42nd Annual Design Automation Conference (DAC 05), (2005), pp. 266–269 S. Manolache, P. Eles, Z. Peng, Fault and energy-aware communication mapping with guaranteed latency for applications implemented on NoC, in Proceedings of 42nd Annual Design Automation Conference (DAC 05), (2005), pp. 266–269
46.
Zurück zum Zitat C. Chou, U.Y. Ogras, R. Marculescu, Energy and performance aware incremental mapping for network on chip with multiple voltage levels. IEEE Trans. Comput.-Aided Des. Integr. Circ. Syst. 27(10), 1866–1879 (2008)CrossRef C. Chou, U.Y. Ogras, R. Marculescu, Energy and performance aware incremental mapping for network on chip with multiple voltage levels. IEEE Trans. Comput.-Aided Des. Integr. Circ. Syst. 27(10), 1866–1879 (2008)CrossRef
47.
Zurück zum Zitat V. Nollet, T. Marescaux, D. Verkest, Operating-system controlled Network-on-Chip, in Proceedings of Design Automation Conference (DAC’04), (2004), pp. 256–259 V. Nollet, T. Marescaux, D. Verkest, Operating-system controlled Network-on-Chip, in Proceedings of Design Automation Conference (DAC’04), (2004), pp. 256–259
48.
Zurück zum Zitat C. Grecu, A. Ivanov, R. Saleh, E. S. Sogomonyan, P. P. Pande, On-line fault detection and location for NoC interconnects, in Proceedings of IEEE International On-Line Testing Symposium, (2006), pp. 145–150 C. Grecu, A. Ivanov, R. Saleh, E. S. Sogomonyan, P. P. Pande, On-line fault detection and location for NoC interconnects, in Proceedings of IEEE International On-Line Testing Symposium, (2006), pp. 145–150
49.
Zurück zum Zitat C. Killian, C. Tanougast, F. Monteiro, A. Dandanche, Online routing fault detection for reconfigurable NoC, in Proceedings of Field Programmable Logic and Applications (FPL’06), (2010), pp. 183–186 C. Killian, C. Tanougast, F. Monteiro, A. Dandanche, Online routing fault detection for reconfigurable NoC, in Proceedings of Field Programmable Logic and Applications (FPL’06), (2010), pp. 183–186
50.
Zurück zum Zitat C. Chou, R. Marculescu, FARM: fault-aware resource management in NoC-based multiprocssor platforms, in Proceedings Design, Automation & Test in Europe Conference & Exhibition (DATE’11), (2011), pp.1–6 C. Chou, R. Marculescu, FARM: fault-aware resource management in NoC-based multiprocssor platforms, in Proceedings Design, Automation & Test in Europe Conference & Exhibition (DATE’11), (2011), pp.1–6
51.
Zurück zum Zitat S. Shamshiri, K.-T. Cheng, Modeling yield, cost, and quality of a spare-enhanced multicore chip. IEEE Trans. Comput. 60(9), 1246–1259 (2011)MathSciNetCrossRef S. Shamshiri, K.-T. Cheng, Modeling yield, cost, and quality of a spare-enhanced multicore chip. IEEE Trans. Comput. 60(9), 1246–1259 (2011)MathSciNetCrossRef
52.
Zurück zum Zitat T. T. Ye, L. Benini, G. De Micheli, Analysis of power consumption on switch fabrics in network routers, in Proceedings of Design Automation Conference (DAC’02), (2002), pp. 524–529 T. T. Ye, L. Benini, G. De Micheli, Analysis of power consumption on switch fabrics in network routers, in Proceedings of Design Automation Conference (DAC’02), (2002), pp. 524–529
53.
Zurück zum Zitat P. Bogdan, T. Dumitras, R. Marculescu, Stochastic communication: a new paradigm for fault-tolerant networks-on-chip, Hindawi Publishing Corporation VLSI Design Volume (2007), Article ID 95348. P. Bogdan, T. Dumitras, R. Marculescu, Stochastic communication: a new paradigm for fault-tolerant networks-on-chip, Hindawi Publishing Corporation VLSI Design Volume (2007), Article ID 95348.
54.
Zurück zum Zitat W. Song, D. Edwards, J. L. Nunez-Yanez, S. Dasgupta, Adaptive stochastic routing in fault-tolerant on-chip netwroks, in Proceedings of ACM/IEEE International Netwrok-on-Chip (NoCS’9), (2009), pp. 32–37 W. Song, D. Edwards, J. L. Nunez-Yanez, S. Dasgupta, Adaptive stochastic routing in fault-tolerant on-chip netwroks, in Proceedings of ACM/IEEE International Netwrok-on-Chip (NoCS’9), (2009), pp. 32–37
55.
Zurück zum Zitat S. Murali, D. Atienza, L. Benini, G. De Micheli, A multi-path routing strategy with guaranteed in-order packet delivery and fault-tolerance for networks on chip, in Proceedings of 42nd Annual Design Automation Conference (DAC 06), (2006), pp. 845–848 S. Murali, D. Atienza, L. Benini, G. De Micheli, A multi-path routing strategy with guaranteed in-order packet delivery and fault-tolerance for networks on chip, in Proceedings of 42nd Annual Design Automation Conference (DAC 06), (2006), pp. 845–848
56.
Zurück zum Zitat S. P. Yong Zou, Ns-ftr: a fault tolerant routing scheme for networks on chip with permanent and runtime intermittent faults in Design Automation Conference (ASP-DAC), pp. 443–448. IEEE, Jan 2011 S. P. Yong Zou, Ns-ftr: a fault tolerant routing scheme for networks on chip with permanent and runtime intermittent faults in Design Automation Conference (ASP-DAC), pp. 443–448. IEEE, Jan 2011
57.
Zurück zum Zitat Xilinx, Partial reconfiguration user guide, UG702 (v12.3) October 5, (2010) Xilinx, Partial reconfiguration user guide, UG702 (v12.3) October 5, (2010)
58.
Zurück zum Zitat C. Hilton, B. Nelson, PNoC: a flexible circuit-switched NoC for FPGA-based systems. IEE Proc. Comput. Dig. Tech. 153(3), 181–188 (2006)CrossRef C. Hilton, B. Nelson, PNoC: a flexible circuit-switched NoC for FPGA-based systems. IEE Proc. Comput. Dig. Tech. 153(3), 181–188 (2006)CrossRef
59.
Zurück zum Zitat S. Jovanovic, C. Tanougast, S. Weber, C. Bobda, CuNoC: A scalable dynamic NoC for dynamically reconfigurable FPGAs, in Proceedings of Field Programmable Logic and Applications (FPL’07), (2007), pp. 753–756 S. Jovanovic, C. Tanougast, S. Weber, C. Bobda, CuNoC: A scalable dynamic NoC for dynamically reconfigurable FPGAs, in Proceedings of Field Programmable Logic and Applications (FPL’07), (2007), pp. 753–756
60.
Zurück zum Zitat V. Nollet, P. Avasare, H. Eeckhaut, D. Verkest, H. Corporaal, Run-time management of a MPSoC containing FPGA fabric tiles. IEEE Trans. Very Large Scale Integr. (VLSI) Syst. 16(1), 24–33 (2008)CrossRef V. Nollet, P. Avasare, H. Eeckhaut, D. Verkest, H. Corporaal, Run-time management of a MPSoC containing FPGA fabric tiles. IEEE Trans. Very Large Scale Integr. (VLSI) Syst. 16(1), 24–33 (2008)CrossRef
61.
Zurück zum Zitat V. Rana, D. Atienza, M. D. Santambrogio, D. Sciuto, G. De Micheli, A reconfigurable Network-on-Chip architecture for optimal multi-processor SoC communication, 16th IFIP/IEEE International Conference on Very Large Scale Integration, (2008), pp.321–326 V. Rana, D. Atienza, M. D. Santambrogio, D. Sciuto, G. De Micheli, A reconfigurable Network-on-Chip architecture for optimal multi-processor SoC communication, 16th IFIP/IEEE International Conference on Very Large Scale Integration, (2008), pp.321–326
62.
Zurück zum Zitat “The OpenCL Specification,” Khronos OpenCL Working Group, Version 1.1, (2011) “The OpenCL Specification,” Khronos OpenCL Working Group, Version 1.1, (2011)
63.
Zurück zum Zitat S. Borkar, Designing reliable systems from unreliable components: the challenges of transistor variability and degradation. IEEE Micro 25(6), 10–16 (2005)CrossRef S. Borkar, Designing reliable systems from unreliable components: the challenges of transistor variability and degradation. IEEE Micro 25(6), 10–16 (2005)CrossRef
64.
Zurück zum Zitat M. Hosseinabady, P. Lotfi-Kamran, Pejman, J. Mathew, S. Mohanty, D. Pradhan, Single-event transient analysis in high speed circuits, in Proceedings of the 2011 International Symposium on Electronic System Design (ISED ‘11), (2011), pp. 112–117 M. Hosseinabady, P. Lotfi-Kamran, Pejman, J. Mathew, S. Mohanty, D. Pradhan, Single-event transient analysis in high speed circuits, in Proceedings of the 2011 International Symposium on Electronic System Design (ISED ‘11), (2011), pp. 112–117
65.
Zurück zum Zitat T. Tonegawa, M. Hiroi, K. Motoyama, K. Fujii, H. Miyamoto, Suppression of bimodal stress-induced voiding using high-diffusive dopant from Cu-alloy seed layer, in Proceedings of the International Interconnect Technology Conference, (2003), pp. 216–218 T. Tonegawa, M. Hiroi, K. Motoyama, K. Fujii, H. Miyamoto, Suppression of bimodal stress-induced voiding using high-diffusive dopant from Cu-alloy seed layer, in Proceedings of the International Interconnect Technology Conference, (2003), pp. 216–218
66.
67.
Zurück zum Zitat M. Hosseinabady, J.L. Nunez-Yanez, Fast and low overhead architectural transaction level modeling for large-scale network-on-chip simulation. IET Comput. Dig. Tech. 6(6), 384–395 (2012)CrossRef M. Hosseinabady, J.L. Nunez-Yanez, Fast and low overhead architectural transaction level modeling for large-scale network-on-chip simulation. IET Comput. Dig. Tech. 6(6), 384–395 (2012)CrossRef
69.
Zurück zum Zitat K. Srinivasan, K. S. Chatha, A low complexity heuristic for design of custom network-on-chip architectures, in Proceedings of the conference on Design, automation and test in Europe (DATE’06), (2006) pp. 130–135 K. Srinivasan, K. S. Chatha, A low complexity heuristic for design of custom network-on-chip architectures, in Proceedings of the conference on Design, automation and test in Europe (DATE’06), (2006) pp. 130–135
Metadaten
Titel
Fault-Tolerant Reconfigurable On-Chip-Network
verfasst von
Mohammad Hosseinabady
Jose L. Nunez-Yanez
Copyright-Jahr
2014
Verlag
Springer New York
DOI
https://doi.org/10.1007/978-1-4614-4193-9_6

Neuer Inhalt