Skip to main content

2018 | OriginalPaper | Buchkapitel

8. Formal Approaches to Hardware Trust Verification

verfasst von : Farimah Farahmandi, Yuanwen Huang, Prabhat Mishra

Erschienen in: The Hardware Trojan War

Verlag: Springer International Publishing

Aktivieren Sie unsere intelligente Suche, um passende Fachinhalte oder Patente zu finden.

search-config
loading …

Abstract

Trust establishment in semiconductor designs has become a major challenge for design houses and government since several countries and companies are involved during different stages of a design life cycle. The variety of vendors increases the risk of security vulnerabilities within the supply chain of integrated circuits. Hardware Trojans are malfunctions which can be inserted during any stage of design such as defining specification, designing intellectual properties (e.g., high-level models, RTL modules, and gate-level netlists), layout extraction, and manufacturing. A triggered hardware Trojan can severely affect the integrity and security of the circuit by causing system failures such as deadlock, denial of service, or granting an unauthorized access to secret information. Hardware Trojans are designed in a way that they are inactive most of the time and can be triggered with a very rare input sequence. Therefore, using simulation-based validation is not effective to detect potential Trojans in a design because of the Trojan’s stealthy nature. In other words, the rare trigger conditions may not be tested during validation time, and a Trojan-inserted circuit cannot be differentiated from a Trojan-free one. From the security perspective, a useful validation approach is the one that can prove the correct functionality of a design, nothing more nothing less. Formal methods are promising to prove the security properties; however, the conventional formal methods suffer from scalability concerns. There are several scalable formal approaches to detect hardware Trojans based on satisfiability solvers, model checkers, theorem provers, symbolic algebra, and combination of them. In this chapter, we discuss hardware trust validation techniques based on formal methods.

Sie haben noch keine Lizenz? Dann Informieren Sie sich jetzt über unsere Produkte:

Springer Professional "Wirtschaft+Technik"

Online-Abonnement

Mit Springer Professional "Wirtschaft+Technik" erhalten Sie Zugriff auf:

  • über 102.000 Bücher
  • über 537 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Finance + Banking
  • Management + Führung
  • Marketing + Vertrieb
  • Maschinenbau + Werkstoffe
  • Versicherung + Risiko

Jetzt Wissensvorsprung sichern!

Springer Professional "Technik"

Online-Abonnement

Mit Springer Professional "Technik" erhalten Sie Zugriff auf:

  • über 67.000 Bücher
  • über 390 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Maschinenbau + Werkstoffe




 

Jetzt Wissensvorsprung sichern!

Springer Professional "Wirtschaft"

Online-Abonnement

Mit Springer Professional "Wirtschaft" erhalten Sie Zugriff auf:

  • über 67.000 Bücher
  • über 340 Zeitschriften

aus folgenden Fachgebieten:

  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Finance + Banking
  • Management + Führung
  • Marketing + Vertrieb
  • Versicherung + Risiko




Jetzt Wissensvorsprung sichern!

Literatur
2.
Zurück zum Zitat P. Behnam, B. Alizadeh, In-circuit mutation-based automatic correction of certain design errors using sat mechanisms, in 2015 IEEE 24th Asian Test Symposium (ATS) (IEEE, 2015), pp. 199–204 P. Behnam, B. Alizadeh, In-circuit mutation-based automatic correction of certain design errors using sat mechanisms, in 2015 IEEE 24th Asian Test Symposium (ATS) (IEEE, 2015), pp. 199–204
3.
Zurück zum Zitat P. Behnam, B. Alizadeh, Z. Navabi, Automatic correction of certain design errors using mutation technique, in 2014 19th IEEE European Test Symposium (ETS) (IEEE, 2014), pp. 1–2 P. Behnam, B. Alizadeh, Z. Navabi, Automatic correction of certain design errors using mutation technique, in 2014 19th IEEE European Test Symposium (ETS) (IEEE, 2014), pp. 1–2
4.
Zurück zum Zitat S. Bhunia, M.S. Hsiao, M. Banga, S. Narasimhan, Hardware trojan attacks: threat analysis and countermeasures. Proc. IEEE 102(8), 1229–1247 (2014)CrossRef S. Bhunia, M.S. Hsiao, M. Banga, S. Narasimhan, Hardware trojan attacks: threat analysis and countermeasures. Proc. IEEE 102(8), 1229–1247 (2014)CrossRef
5.
Zurück zum Zitat A. Biere, A. Cimatti, E.M. Clarke, O. Strichman, Y. Zhu, Bounded model checking. Adv. comput. 58, 117–148 (2003)CrossRef A. Biere, A. Cimatti, E.M. Clarke, O. Strichman, Y. Zhu, Bounded model checking. Adv. comput. 58, 117–148 (2003)CrossRef
7.
Zurück zum Zitat B. Buchberger, Some properties of gröbner-bases for polynomial ideals. ACM SIGSAM Bull. 10(4), 19–24 (1976)MathSciNetCrossRef B. Buchberger, Some properties of gröbner-bases for polynomial ideals. ACM SIGSAM Bull. 10(4), 19–24 (1976)MathSciNetCrossRef
8.
Zurück zum Zitat B. Buchberger, A criterion for detecting unnecessary reductions in the construction of a groebner bases, in EUROSAM, 1979 B. Buchberger, A criterion for detecting unnecessary reductions in the construction of a groebner bases, in EUROSAM, 1979
9.
Zurück zum Zitat R.S. Chakraborty, F. Wolf, C. Papachristou, S. Bhunia, Mero: a statistical approach for hardware Trojan detection, in International Workshop on Cryptographic Hardware and Embedded Systems (CHES’09), 2009, pp. 369–410 R.S. Chakraborty, F. Wolf, C. Papachristou, S. Bhunia, Mero: a statistical approach for hardware Trojan detection, in International Workshop on Cryptographic Hardware and Embedded Systems (CHES’09), 2009, pp. 369–410
10.
Zurück zum Zitat A. Cimatti, E. Clarke, F. Giunchiglia, M. Roveri, Nusmv: a new symbolic model checker. Int. J. Softw. Tools Technol. Transfer 2(4), 410–425 (2000)CrossRefMATH A. Cimatti, E. Clarke, F. Giunchiglia, M. Roveri, Nusmv: a new symbolic model checker. Int. J. Softw. Tools Technol. Transfer 2(4), 410–425 (2000)CrossRefMATH
11.
Zurück zum Zitat E.M. Clarke, E.A. Emerson, A.P. Sistla, Automatic verification of finite-state concurrent systems using temporal logic specifications. ACM Trans. Program. Lang. Syst. (TOPLAS) 8(2), 244–263 (1986) E.M. Clarke, E.A. Emerson, A.P. Sistla, Automatic verification of finite-state concurrent systems using temporal logic specifications. ACM Trans. Program. Lang. Syst. (TOPLAS) 8(2), 244–263 (1986)
12.
Zurück zum Zitat D. Cox, J. Little, D. O’Shea, Ideals, Varieties, and Algorithms (Springer, New York, 1997)MATH D. Cox, J. Little, D. O’Shea, Ideals, Varieties, and Algorithms (Springer, New York, 1997)MATH
13.
Zurück zum Zitat F. Farahmandi, B. Alizadeh, Grobner basis based formal verification of large arithmetic circuits using gaussian elimination and cone-based polynomial extraction, in Microprocessor and Microsystems – Embedded Hardware Design, 2015, pp. 83–96 F. Farahmandi, B. Alizadeh, Grobner basis based formal verification of large arithmetic circuits using gaussian elimination and cone-based polynomial extraction, in Microprocessor and Microsystems – Embedded Hardware Design, 2015, pp. 83–96
14.
Zurück zum Zitat F. Farahmandi, P. Mishra, Automated test generation for debugging arithmetic circuits, in 2016 Design, Automation & Test in Europe Conference & Exhibition (DATE) (IEEE, 2016), pp. 1351–1356 F. Farahmandi, P. Mishra, Automated test generation for debugging arithmetic circuits, in 2016 Design, Automation & Test in Europe Conference & Exhibition (DATE) (IEEE, 2016), pp. 1351–1356
15.
Zurück zum Zitat F. Farahmandi, B. Alizadeh, Z. Navabi, Effective combination of algebraic techniques and decision diagrams to formally verify large arithmetic circuits, in 2014 IEEE Computer Society Annual Symposium on VLSI (IEEE, 2014), pp. 338–343 F. Farahmandi, B. Alizadeh, Z. Navabi, Effective combination of algebraic techniques and decision diagrams to formally verify large arithmetic circuits, in 2014 IEEE Computer Society Annual Symposium on VLSI (IEEE, 2014), pp. 338–343
16.
Zurück zum Zitat F. Farahmandi, Y. Huang, P. Mishra, Trojan localization using symbolic algebra, in 2017 22nd Asia and South Pacific Design Automation Conference (ASP-DAC) (IEEE, 2017), pp. 591–597 F. Farahmandi, Y. Huang, P. Mishra, Trojan localization using symbolic algebra, in 2017 22nd Asia and South Pacific Design Automation Conference (ASP-DAC) (IEEE, 2017), pp. 591–597
17.
Zurück zum Zitat N. Fern, S. Kulkarni, K.-T.T. Cheng, Hardware Trojans hidden in RTL don’t cares – automated insertion and prevention methodologies, in 2015 IEEE International Test Conference (ITC) (IEEE, 2015), pp. 1–8 N. Fern, S. Kulkarni, K.-T.T. Cheng, Hardware Trojans hidden in RTL don’t cares – automated insertion and prevention methodologies, in 2015 IEEE International Test Conference (ITC) (IEEE, 2015), pp. 1–8
18.
Zurück zum Zitat N. Fern, I. San, C.K. Koç, K.-T.T. Cheng, Hardware Trojans in incompletely specified on-chip bus systems, in Proceedings of the 2016 Conference on Design, Automation & Test in Europe (EDA Consortium, 2016), pp. 527–530 N. Fern, I. San, C.K. Koç, K.-T.T. Cheng, Hardware Trojans in incompletely specified on-chip bus systems, in Proceedings of the 2016 Conference on Design, Automation & Test in Europe (EDA Consortium, 2016), pp. 527–530
19.
Zurück zum Zitat N. Fern, I. San, K.-T.T. Cheng, Detecting hardware trojans in unspecified functionality through solving satisfiability problems, in 2017 22nd Asia and South Pacific Design Automation Conference (ASP-DAC) (IEEE, 2017), pp. 598–504 N. Fern, I. San, K.-T.T. Cheng, Detecting hardware trojans in unspecified functionality through solving satisfiability problems, in 2017 22nd Asia and South Pacific Design Automation Conference (ASP-DAC) (IEEE, 2017), pp. 598–504
20.
Zurück zum Zitat X. Guo, R.G. Dutta, Y. Jin, F. Farahmandi, P. Mishra, Pre-silicon security verification and validation: a formal perspective, in ACM/IEEE Design Automation Conference (DAC), 2015 X. Guo, R.G. Dutta, Y. Jin, F. Farahmandi, P. Mishra, Pre-silicon security verification and validation: a formal perspective, in ACM/IEEE Design Automation Conference (DAC), 2015
21.
Zurück zum Zitat X. Guo, R.G. Dutta, P. Mishra, Y. Jin, Scalable SoC trust verification using integrated theorem proving and model checking, in 2016 IEEE International Symposium on Hardware Oriented Security and Trust (HOST) (IEEE, 2016), pp. 124–129 X. Guo, R.G. Dutta, P. Mishra, Y. Jin, Scalable SoC trust verification using integrated theorem proving and model checking, in 2016 IEEE International Symposium on Hardware Oriented Security and Trust (HOST) (IEEE, 2016), pp. 124–129
22.
Zurück zum Zitat S.R. Hasan, C.A. Kamhoua, K.A. Kwiat, L. Njilla, Translating circuit behavior manifestations of hardware Trojans using model checkers into run-time trojan detection monitors, in IEEE Asian Hardware-Oriented Security and Trust (AsianHOST) (IEEE, 2016), pp. 1–6 S.R. Hasan, C.A. Kamhoua, K.A. Kwiat, L. Njilla, Translating circuit behavior manifestations of hardware Trojans using model checkers into run-time trojan detection monitors, in IEEE Asian Hardware-Oriented Security and Trust (AsianHOST) (IEEE, 2016), pp. 1–6
23.
Zurück zum Zitat Y. Huang, P. Mishra, Reliability and energy-aware cache reconfiguration for embedded systems, in 2016 17th International Symposium on Quality Electronic Design (ISQED) (IEEE, 2016) pp. 313–318 Y. Huang, P. Mishra, Reliability and energy-aware cache reconfiguration for embedded systems, in 2016 17th International Symposium on Quality Electronic Design (ISQED) (IEEE, 2016) pp. 313–318
24.
Zurück zum Zitat Y. Huang, P. Mishra, Test generation for detection of malicious parametric variations, in Hardware IP Security and Trust (Springer International Publishing, Cham, 2017), pp. 325–340CrossRef Y. Huang, P. Mishra, Test generation for detection of malicious parametric variations, in Hardware IP Security and Trust (Springer International Publishing, Cham, 2017), pp. 325–340CrossRef
25.
Zurück zum Zitat Y. Huang, P. Mishra, Trace buffer attack on the AES cipher. J. Hardw. Syst. Secur. (HaSS) 1(1), 68–84 (2017). Springer Y. Huang, P. Mishra, Trace buffer attack on the AES cipher. J. Hardw. Syst. Secur. (HaSS) 1(1), 68–84 (2017). Springer
26.
Zurück zum Zitat Y. Huang, A. Chattopadhyay, P. Mishra, Trace buffer attack: security versus observability study in post-silicon debug, in 2015 IFIP/IEEE International Conference on Very Large Scale Integration (VLSI-SoC), 2015, pp. 355–360 Y. Huang, A. Chattopadhyay, P. Mishra, Trace buffer attack: security versus observability study in post-silicon debug, in 2015 IFIP/IEEE International Conference on Very Large Scale Integration (VLSI-SoC), 2015, pp. 355–360
27.
Zurück zum Zitat Y. Huang, S. Bhunia, P. Mishra, MERS: statistical test generation for side-channel analysis based Trojan detection, in Proceedings of the 2016 ACM SIGSAC Conference on Computer and Communications Security (CCS’16) (ACM, New York, 2016), pp. 130–141 Y. Huang, S. Bhunia, P. Mishra, MERS: statistical test generation for side-channel analysis based Trojan detection, in Proceedings of the 2016 ACM SIGSAC Conference on Computer and Communications Security (CCS’16) (ACM, New York, 2016), pp. 130–141
28.
Zurück zum Zitat Y. Jin, EDA tools trust evaluation through security property proofs, in Design, Automation and Test in Europe Conference and Exhibition (DATE), 2014, pp. 1–4 Y. Jin, EDA tools trust evaluation through security property proofs, in Design, Automation and Test in Europe Conference and Exhibition (DATE), 2014, pp. 1–4
29.
Zurück zum Zitat Y. Jin, Y. Makris, Hardware Trojan detection using path delay fingerprint, in IEEE International Workshop on Hardware-Oriented Security and Trust, 2008, pp. 51–57 Y. Jin, Y. Makris, Hardware Trojan detection using path delay fingerprint, in IEEE International Workshop on Hardware-Oriented Security and Trust, 2008, pp. 51–57
30.
Zurück zum Zitat Y. Jin, Y. Makris, Proof carrying-based information flow tracking for data secrecy protection and hardware trust, in VLSI Test Symposium (VTS), 2012, pp. 252–257 Y. Jin, Y. Makris, Proof carrying-based information flow tracking for data secrecy protection and hardware trust, in VLSI Test Symposium (VTS), 2012, pp. 252–257
31.
Zurück zum Zitat Y. Jin, B. Yang, Y. Makris, Cycle-accurate information assurance by proof-carrying based signal sensitivity tracing, in IEEE International Symposium on Hardware-Oriented Security and Trust (HOST), 2013, pp. 99–106 Y. Jin, B. Yang, Y. Makris, Cycle-accurate information assurance by proof-carrying based signal sensitivity tracing, in IEEE International Symposium on Hardware-Oriented Security and Trust (HOST), 2013, pp. 99–106
32.
Zurück zum Zitat H.-M. Koo, P. Mishra, Test generation using sat-based bounded model checking for validation of pipelined processors, in Proceedings of the 16th ACM Great Lakes Symposium on VLSI (ACM, 2006), pp. 362–365 H.-M. Koo, P. Mishra, Test generation using sat-based bounded model checking for validation of pipelined processors, in Proceedings of the 16th ACM Great Lakes Symposium on VLSI (ACM, 2006), pp. 362–365
33.
Zurück zum Zitat G.C. Necula, Proof-carrying code, in Proceedings of the 24th ACM SIGPLAN-SIGACT Symposium on Principles of Programming Languages (ACM, 1997), pp. 106–119 G.C. Necula, Proof-carrying code, in Proceedings of the 24th ACM SIGPLAN-SIGACT Symposium on Principles of Programming Languages (ACM, 1997), pp. 106–119
34.
Zurück zum Zitat X.T. Ngo, I. Exurville, S. Bhasin, J.L. Danger, S. Guilley, Z. Najm, J.B. Rigaud, B. Robisson, Hardware trojan detection by delay and electromagnetic measurements, in 2015 Design, Automation Test in Europe Conference Exhibition (DATE), 2015, pp. 782–787 X.T. Ngo, I. Exurville, S. Bhasin, J.L. Danger, S. Guilley, Z. Najm, J.B. Rigaud, B. Robisson, Hardware trojan detection by delay and electromagnetic measurements, in 2015 Design, Automation Test in Europe Conference Exhibition (DATE), 2015, pp. 782–787
35.
Zurück zum Zitat Y. Qiu, H. Li, T. Wang, B. Liu, Y. Gao, X. Li, Property coverage analysis based trustworthiness verification for potential threats from EDA tools, in 2016 IEEE 25th Asian Test Symposium (ATS) (IEEE, 2016), pp. 43–48 Y. Qiu, H. Li, T. Wang, B. Liu, Y. Gao, X. Li, Property coverage analysis based trustworthiness verification for potential threats from EDA tools, in 2016 IEEE 25th Asian Test Symposium (ATS) (IEEE, 2016), pp. 43–48
36.
Zurück zum Zitat J. Rajendran, V. Vedula, R. Karri, Detecting malicious modifications of data in third-party intellectual property cores, in ACM/IEEE Design Automation Conference (DAC), 2015, pp. 112–118 J. Rajendran, V. Vedula, R. Karri, Detecting malicious modifications of data in third-party intellectual property cores, in ACM/IEEE Design Automation Conference (DAC), 2015, pp. 112–118
37.
Zurück zum Zitat J. Rajendran, A.M. Dhandayuthapany, V. Vedula, R. Karri, Formal security verification of third party intellectual property cores for information leakage, in 2016 29th International Conference on VLSI Design and 2016 15th International Conference on Embedded Systems (VLSID) (IEEE, 2016), pp. 547–552 J. Rajendran, A.M. Dhandayuthapany, V. Vedula, R. Karri, Formal security verification of third party intellectual property cores for information leakage, in 2016 29th International Conference on VLSI Design and 2016 15th International Conference on Embedded Systems (VLSID) (IEEE, 2016), pp. 547–552
38.
Zurück zum Zitat M. Rathmair, F. Schupfer, Hardware Trojan detection by specifying malicious circuit properties, in 2013 IEEE 4th International Conference on Electronics Information and Emergency Communication (ICEIEC) (IEEE, 2013), pp. 317–320 M. Rathmair, F. Schupfer, Hardware Trojan detection by specifying malicious circuit properties, in 2013 IEEE 4th International Conference on Electronics Information and Emergency Communication (ICEIEC) (IEEE, 2013), pp. 317–320
39.
Zurück zum Zitat E. Sadredini, M. Najafi, M. Fathy, Z. Navabi, BILBO-friendly hybrid BIST architecture with asymmetric polynomial reseeding, in 2012 16th CSI International Symposium on Computer Architecture and Digital Systems (CADS) (IEEE, 2012), pp. 145–149 E. Sadredini, M. Najafi, M. Fathy, Z. Navabi, BILBO-friendly hybrid BIST architecture with asymmetric polynomial reseeding, in 2012 16th CSI International Symposium on Computer Architecture and Digital Systems (CADS) (IEEE, 2012), pp. 145–149
40.
Zurück zum Zitat E. Sadredini, R. Rahimi, P. Foroutan, M. Fathy, Z. Navabi, An improved scheme for pre-computed patterns in core-based SoC architecture, in 2016 IEEE East-West Design & Test Symposium (EWDTS) (IEEE, 2016), pp. 1–6 E. Sadredini, R. Rahimi, P. Foroutan, M. Fathy, Z. Navabi, An improved scheme for pre-computed patterns in core-based SoC architecture, in 2016 IEEE East-West Design & Test Symposium (EWDTS) (IEEE, 2016), pp. 1–6
41.
Zurück zum Zitat S. Saha, R. Chakraborty, S. Nuthakki, Anshul, D. Mukhopadhyay, Improved test pattern generation for hardware Trojan detection using genetic algorithm and boolean satisfiability, in Cryptographic Hardware and Embedded Systems (CHES), 2015, pp. 577–596 S. Saha, R. Chakraborty, S. Nuthakki, Anshul, D. Mukhopadhyay, Improved test pattern generation for hardware Trojan detection using genetic algorithm and boolean satisfiability, in Cryptographic Hardware and Embedded Systems (CHES), 2015, pp. 577–596
42.
Zurück zum Zitat A. Sayed-Ahmed, D. Große, U. Kühne, M. Soeken, R. Drechsler, Formal verification of integer multipliers by combining gröbner basis with logic reduction, in Design Automation and Test in Europe Conference(DATE), 2016, pp. 1–6 A. Sayed-Ahmed, D. Große, U. Kühne, M. Soeken, R. Drechsler, Formal verification of integer multipliers by combining gröbner basis with logic reduction, in Design Automation and Test in Europe Conference(DATE), 2016, pp. 1–6
44.
Zurück zum Zitat S. Vasudevan, E.A. Emerson, J.A. Abraham, Efficient model checking of hardware using conditioned slicing. Electron. Notes Theor. Comput. Sci. 128(6), 279–294 (2005)CrossRefMATH S. Vasudevan, E.A. Emerson, J.A. Abraham, Efficient model checking of hardware using conditioned slicing. Electron. Notes Theor. Comput. Sci. 128(6), 279–294 (2005)CrossRefMATH
45.
Zurück zum Zitat B. Yang, K. Wu, R. Karri, Scan based side channel attack on dedicated hardware implementations of data encryption standard, in ITC, 2004, pp. 339–344 B. Yang, K. Wu, R. Karri, Scan based side channel attack on dedicated hardware implementations of data encryption standard, in ITC, 2004, pp. 339–344
Metadaten
Titel
Formal Approaches to Hardware Trust Verification
verfasst von
Farimah Farahmandi
Yuanwen Huang
Prabhat Mishra
Copyright-Jahr
2018
DOI
https://doi.org/10.1007/978-3-319-68511-3_8

Neuer Inhalt