Skip to main content
Erschienen in:
Buchtitelbild

2018 | OriginalPaper | Buchkapitel

1. Introduction

verfasst von : Alexandru-Petru Tanase, Frank Hannig, Jürgen Teich

Erschienen in: Symbolic Parallelization of Nested Loop Programs

Verlag: Springer International Publishing

Aktivieren Sie unsere intelligente Suche, um passende Fachinhalte oder Patente zu finden.

search-config
loading …

Abstract

In 1965, Gordon Moore predicted that the number of transistors per chip would double every two years and that chips would eventually be so small, that they could be embedded in homes, cars, and mobile devices. As this prophecy came true, the efficient exploitation of the computational performance of such systems is achieved through new resource-aware programming paradigms such as of invasive computing. They introduce novel runtime adaptivity that renders compilation difficult because the actual number of executing processors becomes only known at runtime. This is a challenging task, as a just-in-time compiler on multiprocessor systems-on-chip (MPSoC) is often prohibitive due to the restricted memory available on such devices. Moreover, the possibility of dynamic runtime compilation and optimized code generation might be out of reach for reasons of unacceptable time overheads. Therefore, novel compiler support for adaptive parallel execution of programs on processor arrays such as TCPAS is required. At the same time, it is of utmost importance to take countermeasures against the increasing proneness to errors of modern MPSoCs. In safety-critical environments such as avionics and automotive, single-event upset (SEU) might change the current program behavior either temporally or even permanently. Accordingly, novel adaptive approaches to enable fault tolerance according to environmental conditions and/or application requirements are also needed for parallel program execution, where faults may otherwise propagate over multiple resources.

Sie haben noch keine Lizenz? Dann Informieren Sie sich jetzt über unsere Produkte:

Springer Professional "Wirtschaft+Technik"

Online-Abonnement

Mit Springer Professional "Wirtschaft+Technik" erhalten Sie Zugriff auf:

  • über 102.000 Bücher
  • über 537 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Finance + Banking
  • Management + Führung
  • Marketing + Vertrieb
  • Maschinenbau + Werkstoffe
  • Versicherung + Risiko

Jetzt Wissensvorsprung sichern!

Springer Professional "Technik"

Online-Abonnement

Mit Springer Professional "Technik" erhalten Sie Zugriff auf:

  • über 67.000 Bücher
  • über 390 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Maschinenbau + Werkstoffe




 

Jetzt Wissensvorsprung sichern!

Literatur
[AJST82]
Zurück zum Zitat Adams, J. H. Jr., Silberberg, R., & Tsao, C. H. (1982). Cosmic ray effects on microelectronics. IEEE Transactions on Nuclear Science, 29, 169–172. Adams, J. H. Jr., Silberberg, R., & Tsao, C. H. (1982). Cosmic ray effects on microelectronics. IEEE Transactions on Nuclear Science, 29, 169–172.
[BBDSG08]
Zurück zum Zitat Bouwens, F., Berekovic, M., De Sutter, B., & Gaydadjiev, G. (2008). Architecture enhancements for the ADRES coarse-grained reconfigurable array. In Proceedings of the 3rd International Conference on High Performance Embedded Architectures and Compilers (HiPEAC), Gothenburg, Sweden (pp. 66–81). Bouwens, F., Berekovic, M., De Sutter, B., & Gaydadjiev, G. (2008). Architecture enhancements for the ADRES coarse-grained reconfigurable array. In Proceedings of the 3rd International Conference on High Performance Embedded Architectures and Compilers (HiPEAC), Gothenburg, Sweden (pp. 66–81).
[BEM.
Zurück zum Zitat Baumgarte, V., Ehlers, G., May, F., Nückel, A., Vorbach, M., & Weinhardt, M. (2003). PACT XPP – A self-reconfigurable data processing architecture. The Journal of Supercomputing, 26(2), 167–184. Baumgarte, V., Ehlers, G., May, F., Nückel, A., Vorbach, M., & Weinhardt, M. (2003). PACT XPP – A self-reconfigurable data processing architecture. The Journal of Supercomputing, 26(2), 167–184.
[Bor07]
Zurück zum Zitat Borkar, S. (2007). Thousand core chips: A technology perspective. In DAC’07 Proceedings of the 44th Annual Design Automation Conference, New York, NY, USA (pp. 746–749). Borkar, S. (2007). Thousand core chips: A technology perspective. In DAC’07 Proceedings of the 44th Annual Design Automation Conference, New York, NY, USA (pp. 746–749).
[But07]
Zurück zum Zitat Butts, M. (2007). Synchronization through communication in a massively parallel processor array. IEEE Micro, 27(5), 32–40. Butts, M. (2007). Synchronization through communication in a massively parallel processor array. IEEE Micro, 27(5), 32–40.
[dDAB.
Zurück zum Zitat de Dinechin, B. D.,Ayrignac, R., Beaucamps, P.-E., Couvert, P., Ganne, B., de Massas, P. G., et al. (2013). A clustered manycore processor architecture for embedded and accelerated applications. In HPEC (pp. 1–6). de Dinechin, B. D.,Ayrignac, R., Beaucamps, P.-E., Couvert, P., Ganne, B., de Massas, P. G., et al. (2013). A clustered manycore processor architecture for embedded and accelerated applications. In HPEC (pp. 1–6).
[DKM.
Zurück zum Zitat Danowitz, A., Kelley, K., Mao, J., Stevenson, J. P., & Horowitz, M. (2012). CPU DB: Recording microprocessor history. Communications of the ACM, 55(4), 55–63. Danowitz, A., Kelley, K., Mao, J., Stevenson, J. P., & Horowitz, M. (2012). CPU DB: Recording microprocessor history. Communications of the ACM, 55(4), 55–63.
[DPT03]
Zurück zum Zitat Duller, A., Panesar, G., & Towner, D. (2003). Parallel processing — The picoChip way! In Proceedings of Communicating Process Architectures (CPA), Enschede, The Netherlands, 2003 (pp. 125–138). Duller, A., Panesar, G., & Towner, D. (2003). Parallel processing — The picoChip way! In Proceedings of Communicating Process Architectures (CPA), Enschede, The Netherlands, 2003 (pp. 125–138).
[FL11]
Zurück zum Zitat Feautrier, P., & Lengauer, C. (2011). Polyhedron model. In Encyclopedia of parallel computing (pp. 1581–1592). Feautrier, P., & Lengauer, C. (2011). Polyhedron model. In Encyclopedia of parallel computing (pp. 1581–1592).
[GHSV.
Zurück zum Zitat Goulding-Hotta, N., Sampson, J., Venkatesh, G., Garcia, S., Auricchio, J., Huang, P., et al. (2011). The GreenDroid mobile application processor: An architecture for silicon’s dark future. IEEE Micro, 31(2), 86–95. Goulding-Hotta, N., Sampson, J., Venkatesh, G., Garcia, S., Auricchio, J., Huang, P., et al. (2011). The GreenDroid mobile application processor: An architecture for silicon’s dark future. IEEE Micro, 31(2), 86–95.
[Gwe11]
Zurück zum Zitat Gwennup, L. (2011). Adapteva: More Flops, Less Watts: Epiphany Offers Floating-Point Accelerator for Mobile Processors. Microprocessor Report (2). Gwennup, L. (2011). Adapteva: More Flops, Less Watts: Epiphany Offers Floating-Point Accelerator for Mobile Processors. Microprocessor Report (2).
[HDH.
Zurück zum Zitat Howard, J., Dighe, S., Hoskote, Y., Vangal, S., Finan, D., Ruhl, G., et al. (2010). A 48-core IA-32 message-passing processor with DVFS in 45 nm CMOS. In Proceedings of IEEE International Solid-State Circuits Conference Digest of Technical Papers (ISSCC) (pp. 108–109). Howard, J., Dighe, S., Hoskote, Y., Vangal, S., Finan, D., Ruhl, G., et al. (2010). A 48-core IA-32 message-passing processor with DVFS in 45 nm CMOS. In Proceedings of IEEE International Solid-State Circuits Conference Digest of Technical Papers (ISSCC) (pp. 108–109).
[HLB.
Zurück zum Zitat Hannig, F., Lari, V., Boppu, S., Tanase, A., & Reiche, O. (2014). Invasive tightly-coupled processor arrays: A domain-specific architecture/compiler co-design approach. ACM Transactions on Embedded Computing Systems (TECS), 13(4s), 133:1–133:29. Hannig, F., Lari, V., Boppu, S., Tanase, A., & Reiche, O. (2014). Invasive tightly-coupled processor arrays: A domain-specific architecture/compiler co-design approach. ACM Transactions on Embedded Computing Systems (TECS), 13(4s), 133:1–133:29.
[IDS12]
Zurück zum Zitat Irza, J., Doerr, M., & Solka, M. (2012). A third generation many-core processor for secure embedded computing systems. In 2012 IEEE Conference on High Performance Extreme Computing (HPEC) (pp. 1–3). New York: IEEE. Irza, J., Doerr, M., & Solka, M. (2012). A third generation many-core processor for secure embedded computing systems. In 2012 IEEE Conference on High Performance Extreme Computing (HPEC) (pp. 1–3). New York: IEEE.
[KHKT06b]
Zurück zum Zitat Kissler, D., Hannig, F., Kupriyanov, A., & Teich, J. (2006). A highly parameterizable parallel processor array architecture. In Proceedings of the IEEE International Conference on Field Programmable Technology (FPT), (pp. 105–112). New York: IEEE. Kissler, D., Hannig, F., Kupriyanov, A., & Teich, J. (2006). A highly parameterizable parallel processor array architecture. In Proceedings of the IEEE International Conference on Field Programmable Technology (FPT), (pp. 105–112). New York: IEEE.
[KSSF10]
Zurück zum Zitat Kalla, R., Sinharoy, B., Starke, W. J., & Floyd, M. (2010). Power7: IBM’s next-generation server processor. IEEE Micro, 30(2), 7–15.CrossRef Kalla, R., Sinharoy, B., Starke, W. J., & Floyd, M. (2010). Power7: IBM’s next-generation server processor. IEEE Micro, 30(2), 7–15.CrossRef
[Len93]
Zurück zum Zitat Lengauer, C. (1993). Loop parallelization in the polytope model. In CONCUR (Vol. 715, pp. 398–416). Lengauer, C. (1993). Loop parallelization in the polytope model. In CONCUR (Vol. 715, pp. 398–416).
[LTHT14]
Zurück zum Zitat Lari, V., Tanase, A., Hannig, F., & Teich, J. (2014). Massively parallel processor architectures for resource-aware computing. In Proceedings of the First Workshop on Resource Awareness and Adaptivity in Multi-Core Computing (Racing) (pp. 1–7). Lari, V., Tanase, A., Hannig, F., & Teich, J. (2014). Massively parallel processor architectures for resource-aware computing. In Proceedings of the First Workshop on Resource Awareness and Adaptivity in Multi-Core Computing (Racing) (pp. 1–7).
[LTT.
Zurück zum Zitat Lari, V., Tanase, A., Teich, J., Witterauf, M., Khosravi, F., Hannig, F., et al. (2015). A co-design approach for fault-tolerant loop execution on coarse-grained reconfigurable arrays. In Proceedings of the 2015 NASA/ESA Conference on Adaptive Hardware and Systems (AHS) (pp. 1–8). New York: IEEE. Lari, V., Tanase, A., Teich, J., Witterauf, M., Khosravi, F., Hannig, F., et al. (2015). A co-design approach for fault-tolerant loop execution on coarse-grained reconfigurable arrays. In Proceedings of the 2015 NASA/ESA Conference on Adaptive Hardware and Systems (AHS) (pp. 1–8). New York: IEEE.
[LTT.
Zurück zum Zitat Lari, V., Teich, J., Tanase, A., Witterauf, M., Khosravi, F., & Meyer, B. H. (2015). Techniques for on-demand structural redundancy for massively parallel processor arrays. Journal of Systems Architecture, 61(10), 615–627.CrossRef Lari, V., Teich, J., Tanase, A., Witterauf, M., Khosravi, F., & Meyer, B. H. (2015). Techniques for on-demand structural redundancy for massively parallel processor arrays. Journal of Systems Architecture, 61(10), 615–627.CrossRef
[LWT.
Zurück zum Zitat Lari, V., Weichslgartner, A., Tanase, A., Witterauf, M., Khosravi, F., Teich, J., et al. (2016). Providing fault tolerance through invasive computing. Information Technology, 58(6), 309–328. Lari, V., Weichslgartner, A., Tanase, A., Witterauf, M., Khosravi, F., Teich, J., et al. (2016). Providing fault tolerance through invasive computing. Information Technology, 58(6), 309–328.
[Moo65]
Zurück zum Zitat Moore, G. E. (1965). Cramming more components onto integrated circuits. Electronics, 38(8), 114–117. Moore, G. E. (1965). Cramming more components onto integrated circuits. Electronics, 38(8), 114–117.
[Mot02]
Zurück zum Zitat Motomura, M. (2002). A dynamically reconfigurable processor architecture. In Microprocessor Forum, San Jose, CA, USA, October 2002. Motomura, M. (2002). A dynamically reconfigurable processor architecture. In Microprocessor Forum, San Jose, CA, USA, October 2002.
[SSM.
Zurück zum Zitat Saripalli, V., Sun, G., Mishra, A., Xie, Y., Datta, S., & Narayanan, V. (2011). Exploiting heterogeneity for energy efficiency in chip multiprocessors. IEEE Journal on Emerging and Selected Topics in Circuits and Systems, 1(2), 109–119.CrossRef Saripalli, V., Sun, G., Mishra, A., Xie, Y., Datta, S., & Narayanan, V. (2011). Exploiting heterogeneity for energy efficiency in chip multiprocessors. IEEE Journal on Emerging and Selected Topics in Circuits and Systems, 1(2), 109–119.CrossRef
[Sut05]
Zurück zum Zitat Sutter, H. (2005). The free lunch is over: A fundamental turn toward concurrency in software. Dr. Dobb’s Journal, 30(3), 202–210. Sutter, H. (2005). The free lunch is over: A fundamental turn toward concurrency in software. Dr. Dobb’s Journal, 30(3), 202–210.
[Tei08]
Zurück zum Zitat Teich, J. (2008). Invasive algorithms and architectures. Information Technology, 50(5), 300–310. Teich, J. (2008). Invasive algorithms and architectures. Information Technology, 50(5), 300–310.
[THT12]
Zurück zum Zitat Tanase, A., Hannig, F., & Teich, J. (2012). Symbolic loop parallelization of static control programs. In Advanced Computer Architecture and Compilation for High-Performance and Embedded Systems (ACACES) (pp. 33–36). Tanase, A., Hannig, F., & Teich, J. (2012). Symbolic loop parallelization of static control programs. In Advanced Computer Architecture and Compilation for High-Performance and Embedded Systems (ACACES) (pp. 33–36).
[TTH13]
Zurück zum Zitat Teich, J., Tanase, A., & Hannig, F. (2013). Symbolic parallelization of loop programs for massively parallel processor arrays. In Proceedings of the 24th IEEE International Conference on Application-specific Systems, Architectures and Processors (ASAP) (pp. 1–9). New York: IEEE. Best Paper Award. Teich, J., Tanase, A., & Hannig, F. (2013). Symbolic parallelization of loop programs for massively parallel processor arrays. In Proceedings of the 24th IEEE International Conference on Application-specific Systems, Architectures and Processors (ASAP) (pp. 1–9). New York: IEEE. Best Paper Award.
[TTH14]
Zurück zum Zitat Teich, J., Tanase, A., & Hannig, F. (2014). Symbolic mapping of loop programs onto processor arrays. Journal of Signal Processing Systems, 77(1–2), 31–59.CrossRef Teich, J., Tanase, A., & Hannig, F. (2014). Symbolic mapping of loop programs onto processor arrays. Journal of Signal Processing Systems, 77(1–2), 31–59.CrossRef
[TWT.
Zurück zum Zitat Tanase, A., Witterauf, M., Teich, J., Hannig, F., & Lari, V. (2015). On-demand fault-tolerant loop processing on massively parallel processor arrays. In Proceedings of the 26th IEEE International Conference on Application-Specific Systems, Architectures and Processors (ASAP) (pp. 194–201). New York: IEEE. Tanase, A., Witterauf, M., Teich, J., Hannig, F., & Lari, V. (2015). On-demand fault-tolerant loop processing on massively parallel processor arrays. In Proceedings of the 26th IEEE International Conference on Application-Specific Systems, Architectures and Processors (ASAP) (pp. 194–201). New York: IEEE.
[TWTH14]
Zurück zum Zitat Tanase, A., Witterauf, M., Teich, J., & Hannig, F. (2014). Symbolic inner loop parallelisation for massively parallel processor arrays. In Proceedings of the 12th ACM-IEEE International Conference on Formal Methods and Models for System Design (MEMOCODE) (pp. 219–228). Tanase, A., Witterauf, M., Teich, J., & Hannig, F. (2014). Symbolic inner loop parallelisation for massively parallel processor arrays. In Proceedings of the 12th ACM-IEEE International Conference on Formal Methods and Models for System Design (MEMOCODE) (pp. 219–228).
[TWTH15]
Zurück zum Zitat Tanase, A., Witterauf, M., Teich, J., & Hannig, F. (2015). Symbolic loop parallelization for balancing I/O and memory accesses on processor arrays. In Proceedings of the 13th ACM-IEEE International Conference on Formal Methods and Models for System Design (MEMOCODE) (pp. 188–197). New York: IEEE. Tanase, A., Witterauf, M., Teich, J., & Hannig, F. (2015). Symbolic loop parallelization for balancing I/O and memory accesses on processor arrays. In Proceedings of the 13th ACM-IEEE International Conference on Formal Methods and Models for System Design (MEMOCODE) (pp. 188–197). New York: IEEE.
[TWTH17]
Zurück zum Zitat Tanase, A., Witterauf, M., Teich, J., & Hannig, F. (2017). Symbolic multi-level loop mapping of loop programs for massively parallel processor arrays. ACM Transactions on Embedded Computing Systems, 17(2), 31:1–31:27. Tanase, A., Witterauf, M., Teich, J., & Hannig, F. (2017). Symbolic multi-level loop mapping of loop programs for massively parallel processor arrays. ACM Transactions on Embedded Computing Systems, 17(2), 31:1–31:27.
[WTT.
Zurück zum Zitat Witterauf, M., Tanase, A., Teich, J., Lari, V., Zwinkau, A., & Snelting, G. (2015). Adaptive fault tolerance through invasive computing. In Proceedings of the 2015 NASA/ESA Conference on Adaptive Hardware and Systems (AHS) (pp. 1–8). New York: IEEE. Witterauf, M., Tanase, A., Teich, J., Lari, V., Zwinkau, A., & Snelting, G. (2015). Adaptive fault tolerance through invasive computing. In Proceedings of the 2015 NASA/ESA Conference on Adaptive Hardware and Systems (AHS) (pp. 1–8). New York: IEEE.
Metadaten
Titel
Introduction
verfasst von
Alexandru-Petru Tanase
Frank Hannig
Jürgen Teich
Copyright-Jahr
2018
DOI
https://doi.org/10.1007/978-3-319-73909-0_1

Neuer Inhalt