Skip to main content

2019 | OriginalPaper | Buchkapitel

Optimizing of Deadlock Detection Methods in Routing of Multicomputer Networks by Fuzzy Here Techniques

verfasst von : Maryam Poornajaf

Erschienen in: Fundamental Research in Electrical Engineering

Verlag: Springer Singapore

Aktivieren Sie unsere intelligente Suche, um passende Fachinhalte oder Patente zu finden.

search-config
loading …

Abstract

One of the most important issues in parallel processing is routing message from a source node to destination that is done by routing nodes. Deadlock in routing is a damaging phenomenon that arises because of dependency of buffers and routing channels as insoluble dependency cycle. Therefor routing algorithms, which are inevitability different phenomenon, costs are incurred. Many routing algorithms to confronting deadlocks use prevention methods and deadlock avoidance. In these methods sources aren’t used in optimal forms, because in these methods there are some limitations in routing and using sources. But in many algorithms of routing the method of deadlock detection and deadlock recovery is used because of inappropriate use of sources in prevention method. In this paper, a new method to detect deadlocks using fuzzy techniques is proposed that this method is combination of deadlock detection methods. And it tries reducing the messages that are introduced wrongly as deadlock involving messages.

Sie haben noch keine Lizenz? Dann Informieren Sie sich jetzt über unsere Produkte:

Springer Professional "Wirtschaft+Technik"

Online-Abonnement

Mit Springer Professional "Wirtschaft+Technik" erhalten Sie Zugriff auf:

  • über 102.000 Bücher
  • über 537 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Finance + Banking
  • Management + Führung
  • Marketing + Vertrieb
  • Maschinenbau + Werkstoffe
  • Versicherung + Risiko

Jetzt Wissensvorsprung sichern!

Springer Professional "Technik"

Online-Abonnement

Mit Springer Professional "Technik" erhalten Sie Zugriff auf:

  • über 67.000 Bücher
  • über 390 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Maschinenbau + Werkstoffe




 

Jetzt Wissensvorsprung sichern!

Literatur
1.
Zurück zum Zitat Diatom J et al (2003) Interconnection networks: an engineering approach. Morgan Kaufmann Publishers. 600p. (In San Francisco, CA, USA). ISBN 1558608524 Diatom J et al (2003) Interconnection networks: an engineering approach. Morgan Kaufmann Publishers. 600p. (In San Francisco, CA, USA). ISBN 1558608524
2.
Zurück zum Zitat Zargham M (1996) Computer architecture: single and parallel system. Prentice-Hall International. 472p. (In Upper Saddle River, NJ, USA). ISBN 0135294975 Zargham M (1996) Computer architecture: single and parallel system. Prentice-Hall International. 472p. (In Upper Saddle River, NJ, USA). ISBN 0135294975
3.
Zurück zum Zitat El-Rewini H et al (2005) Advanced computer architecture and parallel processing. Wiley, New York, 287p. ISBN 0-471-46740-5 El-Rewini H et al (2005) Advanced computer architecture and parallel processing. Wiley, New York, 287p. ISBN 0-471-46740-5
4.
Zurück zum Zitat Glass CJ, Ni LM (1991) The turn model for adaptive routing. In: Proceedings of the 19th annual International Symposium on Computer Architecture (ISCA), Queensland, Australia, 19, 21 May 1992, pp 278–287 Glass CJ, Ni LM (1991) The turn model for adaptive routing. In: Proceedings of the 19th annual International Symposium on Computer Architecture (ISCA), Queensland, Australia, 19, 21 May 1992, pp 278–287
5.
Zurück zum Zitat Dally WJ et al (2004) Principles and practices of interconnection networks. Morgan Kaufmann Publishers. 550p. (In San Francisco, CA, USA). ISBN 9780080497808 Dally WJ et al (2004) Principles and practices of interconnection networks. Morgan Kaufmann Publishers. 550p. (In San Francisco, CA, USA). ISBN 9780080497808
6.
Zurück zum Zitat Martinez JM, Lopez P, Duato J (2003) FC3D: Flow control-based distributed deadlock detection mechanism for true fully adaptive routing in wormhole networks. IEEE Trans Parallel Distrib Syst 14(8):765–779CrossRef Martinez JM, Lopez P, Duato J (2003) FC3D: Flow control-based distributed deadlock detection mechanism for true fully adaptive routing in wormhole networks. IEEE Trans Parallel Distrib Syst 14(8):765–779CrossRef
7.
Zurück zum Zitat Dally WJ, Seitz CL (1987) Deadlock-free message routing in multiprocessor interconnection networks. IEEE Trans Comput C-36:547–553CrossRef Dally WJ, Seitz CL (1987) Deadlock-free message routing in multiprocessor interconnection networks. IEEE Trans Comput C-36:547–553CrossRef
8.
Zurück zum Zitat Kim J, Liu Z, Chien A (1997) Compressionless routing: a framework for adaptive and fault-tolerant routing. IEEE Trans Parallel Distrib Syst 8:229–244CrossRef Kim J, Liu Z, Chien A (1997) Compressionless routing: a framework for adaptive and fault-tolerant routing. IEEE Trans Parallel Distrib Syst 8:229–244CrossRef
9.
Zurück zum Zitat Duato J (1993) A new theory of deadlock-free adaptive routing in wormhole networks. IEEE Trans Parallel Distrib Syst (TPDS) 4:1320–1331CrossRef Duato J (1993) A new theory of deadlock-free adaptive routing in wormhole networks. IEEE Trans Parallel Distrib Syst (TPDS) 4:1320–1331CrossRef
10.
Zurück zum Zitat Mohapatra P (1998) Wormhole routing techniques for directly connected multicomputer systems. ACM Comput Surv 30:374–410CrossRef Mohapatra P (1998) Wormhole routing techniques for directly connected multicomputer systems. ACM Comput Surv 30:374–410CrossRef
11.
Zurück zum Zitat Sharifian-Nia S, Vafaei A, Shahimohamadi H (2012) Deadlock recovery technique in bus enhanced NOC architecture. Int J VLSI Des Commun Syst (VLSICS) 3(4)CrossRef Sharifian-Nia S, Vafaei A, Shahimohamadi H (2012) Deadlock recovery technique in bus enhanced NOC architecture. Int J VLSI Des Commun Syst (VLSICS) 3(4)CrossRef
12.
Zurück zum Zitat Dally WJ, Aoki H (1993) Deadlock-free adaptive routing in multicomputer networks using virtual channels. IEEE Trans Parallel Distrib Syst 4:466–475CrossRef Dally WJ, Aoki H (1993) Deadlock-free adaptive routing in multicomputer networks using virtual channels. IEEE Trans Parallel Distrib Syst 4:466–475CrossRef
13.
Zurück zum Zitat Taktak S, Desbarbieux JI, Encrenaz E (2008) A tool for automatic detection of deadlock in wormhole networks on chip. ACM Trans Des Autom Electron Syst 13(6):1–22CrossRef Taktak S, Desbarbieux JI, Encrenaz E (2008) A tool for automatic detection of deadlock in wormhole networks on chip. ACM Trans Des Autom Electron Syst 13(6):1–22CrossRef
14.
Zurück zum Zitat Soojung L (2009) Deadlock detection and recovery for true fully adaptive routing in regular wormhole networks. J Inf Sci Eng 25:465–479 Soojung L (2009) Deadlock detection and recovery for true fully adaptive routing in regular wormhole networks. J Inf Sci Eng 25:465–479
15.
Zurück zum Zitat Soojung L (2007) A deadlock detection mechanism for true fully adaptive routing in regular wormhole networks. Comput Commun 30:1826–1840CrossRef Soojung L (2007) A deadlock detection mechanism for true fully adaptive routing in regular wormhole networks. Comput Commun 30:1826–1840CrossRef
16.
Zurück zum Zitat Anjan KV, Pinkston T (1995) DISHA: a deadlock recovery scheme for fully adaptive routing. Proceedings of Ninth Int’l Parallel Processing Symposium, Santa Barbara, CA, USA, 25, 28 April 1995, pp 537–543 Anjan KV, Pinkston T (1995) DISHA: a deadlock recovery scheme for fully adaptive routing. Proceedings of Ninth Int’l Parallel Processing Symposium, Santa Barbara, CA, USA, 25, 28 April 1995, pp 537–543
17.
Zurück zum Zitat Khonsari A, Shahrabi A, Ould-Khaoua M, Sarbazi-Azad H (2003) Performance comparison of deadlock recovery and deadlock avoidance routing algorithms in wormhole-switched networks. IEEE Proc Comput Digit Tech 150:97–106CrossRef Khonsari A, Shahrabi A, Ould-Khaoua M, Sarbazi-Azad H (2003) Performance comparison of deadlock recovery and deadlock avoidance routing algorithms in wormhole-switched networks. IEEE Proc Comput Digit Tech 150:97–106CrossRef
18.
Zurück zum Zitat Soojung L (2006) Turn-based deadlock detection for wormhole routed networks. In: CIT ‘06 Proceedings of the Sixth IEEE International Conference on Computer and Information Technology, Seoul, Korea, 20, 22 September 2006 Soojung L (2006) Turn-based deadlock detection for wormhole routed networks. In: CIT ‘06 Proceedings of the Sixth IEEE International Conference on Computer and Information Technology, Seoul, Korea, 20, 22 September 2006
19.
Zurück zum Zitat Mirza-Aghatabar M, Tavakol A, Sarbazi-Azad H, Nayebi A (2008) An adaptive software-based deadlock recovery technique. In: Proceedings of 22nd International Conference on Advanced Networking and Applications-Workshops, Okinawa, Japan, pp 514–519, 25, 28 March 2008 Mirza-Aghatabar M, Tavakol A, Sarbazi-Azad H, Nayebi A (2008) An adaptive software-based deadlock recovery technique. In: Proceedings of 22nd International Conference on Advanced Networking and Applications-Workshops, Okinawa, Japan, pp 514–519, 25, 28 March 2008
20.
Zurück zum Zitat Al-Dujaily R, Mak T, Xia F, Yakovlev A, Palesi M (2012) Embedded transitive closure network for run-time deadlock detection in networks-on-chip. J IEEE Trans Parallel Distrib Syst 23(7):1205–1215CrossRef Al-Dujaily R, Mak T, Xia F, Yakovlev A, Palesi M (2012) Embedded transitive closure network for run-time deadlock detection in networks-on-chip. J IEEE Trans Parallel Distrib Syst 23(7):1205–1215CrossRef
21.
Zurück zum Zitat Anjan KV, Pinkston TM (1995) An efficient fully adaptive deadlock recovery scheme: DISHA. International Symposium on Computer Architecture, Santa Margherita Ligure, Italy, 22, 24 June 1995, pp 201–210 Anjan KV, Pinkston TM (1995) An efficient fully adaptive deadlock recovery scheme: DISHA. International Symposium on Computer Architecture, Santa Margherita Ligure, Italy, 22, 24 June 1995, pp 201–210
22.
Zurück zum Zitat Anjan KV, Pinkston TM, Duato J (1996) Generalized theory for deadlock-free adaptive wormhole routing and its application to Disha concurrent. Proceedings of 10th Int’l Parallel Processing Symposium, Honolulu, HI, USA, 15, 19 April 1996, pp 815–821 Anjan KV, Pinkston TM, Duato J (1996) Generalized theory for deadlock-free adaptive wormhole routing and its application to Disha concurrent. Proceedings of 10th Int’l Parallel Processing Symposium, Honolulu, HI, USA, 15, 19 April 1996, pp 815–821
Metadaten
Titel
Optimizing of Deadlock Detection Methods in Routing of Multicomputer Networks by Fuzzy Here Techniques
verfasst von
Maryam Poornajaf
Copyright-Jahr
2019
Verlag
Springer Singapore
DOI
https://doi.org/10.1007/978-981-10-8672-4_57

Neuer Inhalt