Skip to main content

2016 | OriginalPaper | Buchkapitel

Circuit Timing Signature (CTS) for Detection of Counterfeit Integrated Circuits

verfasst von : Kan Xiao, Domenic Forte, Mohammad (Mark) Tehranipoor

Erschienen in: Secure System Design and Trustable Computing

Verlag: Springer International Publishing

Aktivieren Sie unsere intelligente Suche, um passende Fachinhalte oder Patente zu finden.

search-config
loading …

Abstract

Counterfeit integrated circuits (ICs) have been on the rise over the past decade and represent a major concern. Counterfeits impact the security and reliability of electronic systems particularly those deployed in critical applications. While there are several different types of counterfeit ICs in the supply chain (cloned, recycled, remarked, overproduced, etc.), reports indicate that recycled ICs constitute the majority of all counterfeit ICs in the market today. Such ICs are recovered from the scrapped boards of used devices. Since these ICs are identical to their unused counterparts in appearance, functionality, and packaging, detecting them can be challenging. It has been observed that path delays in recycled ICs will be larger than those in unused ICs due to the effects of silicon aging, such as negative/positive bias temperature instability (NBTI/PBTI) and hot carrier injection (HCI). In this chapter, a circuit timing signature (CTS) technique is presented to distinguish recycled ICs from unused ones. Specifically, a clock sweeping technique is employed both to measure the amount of path delay and to generate a timing signature for chips under testing. Due to the degradation in the field, the path delay distribution of recycled ICs becomes different from that of new/unused ICs, resulting in a different CTS. An authentication flow for accurately identifying recycled ICs is presented. Results show that statistical analysis can effectively separate the impact of process variations from aging effects on path delay. In addition, the CTS is extended to the detection of cloned ICs, overproduced ICs, and remarked ICs. A unique binary ID is generated based on the CTS of each IC. By checking the intrinsic IDs, cloned, overproduced, and remarked ICs can be effectively identified.

Sie haben noch keine Lizenz? Dann Informieren Sie sich jetzt über unsere Produkte:

Springer Professional "Wirtschaft+Technik"

Online-Abonnement

Mit Springer Professional "Wirtschaft+Technik" erhalten Sie Zugriff auf:

  • über 102.000 Bücher
  • über 537 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Finance + Banking
  • Management + Führung
  • Marketing + Vertrieb
  • Maschinenbau + Werkstoffe
  • Versicherung + Risiko

Jetzt Wissensvorsprung sichern!

Springer Professional "Technik"

Online-Abonnement

Mit Springer Professional "Technik" erhalten Sie Zugriff auf:

  • über 67.000 Bücher
  • über 390 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Maschinenbau + Werkstoffe




 

Jetzt Wissensvorsprung sichern!

Springer Professional "Wirtschaft"

Online-Abonnement

Mit Springer Professional "Wirtschaft" erhalten Sie Zugriff auf:

  • über 67.000 Bücher
  • über 340 Zeitschriften

aus folgenden Fachgebieten:

  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Finance + Banking
  • Management + Führung
  • Marketing + Vertrieb
  • Versicherung + Risiko




Jetzt Wissensvorsprung sichern!

Literatur
2.
Zurück zum Zitat Cassell, J.: Reports of counterfeit parts quadruple since 2009. Challenging US Defence Industry and National Security Cassell, J.: Reports of counterfeit parts quadruple since 2009. Challenging US Defence Industry and National Security
3.
Zurück zum Zitat U.S. Senate Committee on Armed Services.: Inquiry into counterfeit electronic parts in the department of defence supply chain (2012) U.S. Senate Committee on Armed Services.: Inquiry into counterfeit electronic parts in the department of defence supply chain (2012)
4.
Zurück zum Zitat U.S. Senate Committee on Armed Services.: Suspect counterfeit electronic parts can be found on internet purchasing platforms (2012) U.S. Senate Committee on Armed Services.: Suspect counterfeit electronic parts can be found on internet purchasing platforms (2012)
5.
Zurück zum Zitat US Congress.: National Defense Authorization Act for Fiscal Year (2012) US Congress.: National Defense Authorization Act for Fiscal Year (2012)
6.
Zurück zum Zitat Guin, U., DiMase, D., Tehranipoor, M.: A comprehensive framework for counterfeit defect coverage analysis and detection assessment. J. Electron. Test. Theory Appl. (JETTA) 30(1), 25–40 (2014) Guin, U., DiMase, D., Tehranipoor, M.: A comprehensive framework for counterfeit defect coverage analysis and detection assessment. J. Electron. Test. Theory Appl. (JETTA) 30(1), 25–40 (2014)
7.
Zurück zum Zitat US, Department of Commerce.: Defense industrial base assessment: counterfeit electronics (2010) US, Department of Commerce.: Defense industrial base assessment: counterfeit electronics (2010)
8.
Zurück zum Zitat Guin, U., Forte, D., Tehranipoor, M.: Anti-counterfeit techniques: from design to resign. In: IEEE Microprocessor Test Verification (MTV) (2013) Guin, U., Forte, D., Tehranipoor, M.: Anti-counterfeit techniques: from design to resign. In: IEEE Microprocessor Test Verification (MTV) (2013)
9.
Zurück zum Zitat Tehranipoor, M., Koushanfar, F.: A survey of hardware Trojan taxonomy and detection. IEEE Des. Test 27(1), 10–25 (2010)CrossRef Tehranipoor, M., Koushanfar, F.: A survey of hardware Trojan taxonomy and detection. IEEE Des. Test 27(1), 10–25 (2010)CrossRef
10.
Zurück zum Zitat Brown, S.: Lifecycle of consumer digital products (2007) Brown, S.: Lifecycle of consumer digital products (2007)
11.
Zurück zum Zitat Bureau of Industry and Security, U.S. Department of Commerce.: Defense industrial base assessment: counterfeit electronics (Jan 2010) Bureau of Industry and Security, U.S. Department of Commerce.: Defense industrial base assessment: counterfeit electronics (Jan 2010)
12.
Zurück zum Zitat Tehranipoor, M., Wang, C.: Introduction to Hardware Security and Trust. Springer, Berlin/Heidelberg (2011) Tehranipoor, M., Wang, C.: Introduction to Hardware Security and Trust. Springer, Berlin/Heidelberg (2011)
13.
Zurück zum Zitat Zhang, X., Tuzzio, N., Tehranipoor, M.: Identification of recovered ICs using fingerprints from a light-weight on-chip sensor. In: Proceedings of Design Automation Conference (DAC) (2012) Zhang, X., Tuzzio, N., Tehranipoor, M.: Identification of recovered ICs using fingerprints from a light-weight on-chip sensor. In: Proceedings of Design Automation Conference (DAC) (2012)
14.
Zurück zum Zitat Guin, U., Forte, D., Tehranipoor, M.: Low-cost on-chip structures for combating die and IC recycling. In: Design Automation Conference (DAC) (2014) Guin, U., Forte, D., Tehranipoor, M.: Low-cost on-chip structures for combating die and IC recycling. In: Design Automation Conference (DAC) (2014)
15.
Zurück zum Zitat Huang, K., Carulli, J., Makris, Y.: Parametric counterfeit IC detection via support vector machines. In: Proceedings of International Symposium on Fault and Defect Tolerance in VLSI Systems (DFT), pp. 7–12 (2012) Huang, K., Carulli, J., Makris, Y.: Parametric counterfeit IC detection via support vector machines. In: Proceedings of International Symposium on Fault and Defect Tolerance in VLSI Systems (DFT), pp. 7–12 (2012)
16.
Zurück zum Zitat Zhang, X., Xiao, K., Tehranipoor, M.: Path-delay fingerprinting for identification of recovered ICs. In: Proceedings of International Symposium on Fault and Defect Tolerance in VLSI Systems (DFT) (Oct 2012) Zhang, X., Xiao, K., Tehranipoor, M.: Path-delay fingerprinting for identification of recovered ICs. In: Proceedings of International Symposium on Fault and Defect Tolerance in VLSI Systems (DFT) (Oct 2012)
17.
Zurück zum Zitat Lofstrom, K., Daasch, W.R., Taylor, D.: IC identification circuit using device mismatch. In: Proceedings ISSCC 2000 (Feb 2000)CrossRef Lofstrom, K., Daasch, W.R., Taylor, D.: IC identification circuit using device mismatch. In: Proceedings ISSCC 2000 (Feb 2000)CrossRef
18.
Zurück zum Zitat Pappu, R.: Physical one-way functions. Ph.D. Thesis, Massachusets Instutute of Tecnhology (2001) Pappu, R.: Physical one-way functions. Ph.D. Thesis, Massachusets Instutute of Tecnhology (2001)
19.
Zurück zum Zitat Ozturk, E., Hammouri, G., Sunar, B.: Physical unclonable function with tristate buffers. In: Proceedings of ISCAS08, pp. 3194–3197 (2008) Ozturk, E., Hammouri, G., Sunar, B.: Physical unclonable function with tristate buffers. In: Proceedings of ISCAS08, pp. 3194–3197 (2008)
20.
Zurück zum Zitat Koushanfar, F., Qu, G., Potkonjak, M.: Intellectual property metering. In: Proceedings of 4th International Workshop Information Hiding, pp. 81–95 (2001) Koushanfar, F., Qu, G., Potkonjak, M.: Intellectual property metering. In: Proceedings of 4th International Workshop Information Hiding, pp. 81–95 (2001)
21.
Zurück zum Zitat Alkabani, Y., Koushanfar, F.: Active hardware metering for intellectual property protection and security. In: Proceedings of 16th USENIX Security Symposium, Usenix Association, pp. 291–306 (2007) Alkabani, Y., Koushanfar, F.: Active hardware metering for intellectual property protection and security. In: Proceedings of 16th USENIX Security Symposium, Usenix Association, pp. 291–306 (2007)
22.
Zurück zum Zitat Contreras, G., Rahman, T., Tehranipoor, M.: Secure split-test for preventing IC piracy by untrusted foundry and assembly. In: Proceedings of International Symposium on Fault and Defect Tolerance in VLSI Systems (DFT) (2013) Contreras, G., Rahman, T., Tehranipoor, M.: Secure split-test for preventing IC piracy by untrusted foundry and assembly. In: Proceedings of International Symposium on Fault and Defect Tolerance in VLSI Systems (DFT) (2013)
23.
Zurück zum Zitat Tuzzio, N., Xiao, K., Zhang, X., Tehranipoor, M.: A zero-overhead IC identification technique using clock sweeping and path delay analysis. In: IEEE GLSVLSI (2012)CrossRef Tuzzio, N., Xiao, K., Zhang, X., Tehranipoor, M.: A zero-overhead IC identification technique using clock sweeping and path delay analysis. In: IEEE GLSVLSI (2012)CrossRef
24.
Zurück zum Zitat Kimizuka, N., Yamamoto, T., Mogami, T., Yamaguchi, K., Imai, K., Horiuchi, T.: The impact of bias temperature instability for direct-tunneling ultra-thin gate oxide on mosfet scaling. In: VLSI Technology (1999)CrossRef Kimizuka, N., Yamamoto, T., Mogami, T., Yamaguchi, K., Imai, K., Horiuchi, T.: The impact of bias temperature instability for direct-tunneling ultra-thin gate oxide on mosfet scaling. In: VLSI Technology (1999)CrossRef
25.
Zurück zum Zitat Vattikonda, R., Wang, W., Cao, Y.: Modeling and minimization of pmos nbti effect for robust nanometer design. In: Proceedings of the 43rd Annual Conference on Design Automation (DAC’06), pp. 1047–1052 (2006) Vattikonda, R., Wang, W., Cao, Y.: Modeling and minimization of pmos nbti effect for robust nanometer design. In: Proceedings of the 43rd Annual Conference on Design Automation (DAC’06), pp. 1047–1052 (2006)
26.
Zurück zum Zitat Jiang, W., Le, H., Chung, J., Kopley, T., Marcoux, P., Dai, C.: Assessing circuit-level hot-carrier reliability. In: IEEE International Reliability Physics Symposium Proceedings, pp. 173–179 (1998) Jiang, W., Le, H., Chung, J., Kopley, T., Marcoux, P., Dai, C.: Assessing circuit-level hot-carrier reliability. In: IEEE International Reliability Physics Symposium Proceedings, pp. 173–179 (1998)
27.
Zurück zum Zitat Wu, L., et al.: Glacier: a hot carrier gate level circuit characterization and simulation system for vlsi design. In: Proceedings of IEEE International Symposium on Quality Electronic Design (ISQED), pp. 73–79 (2000) Wu, L., et al.: Glacier: a hot carrier gate level circuit characterization and simulation system for vlsi design. In: Proceedings of IEEE International Symposium on Quality Electronic Design (ISQED), pp. 73–79 (2000)
28.
29.
Zurück zum Zitat Rabaey, J., Chandrakasan, A., Nikolic, B.: Digital Integrated Circuits: A Design Perspective, 2nd edn. Prentice Hall, Upper Saddle River (2003) Rabaey, J., Chandrakasan, A., Nikolic, B.: Digital Integrated Circuits: A Design Perspective, 2nd edn. Prentice Hall, Upper Saddle River (2003)
30.
Zurück zum Zitat Bushnell, M., Agrawal, V.: Essentials of Electronic Testing for Digital, Memory & Mixed-Signal VLSI Circuits. Springer, Berlin/Heidelberg (2000) Bushnell, M., Agrawal, V.: Essentials of Electronic Testing for Digital, Memory & Mixed-Signal VLSI Circuits. Springer, Berlin/Heidelberg (2000)
31.
Zurück zum Zitat Datta, R., Sebastine, A., Raghunathan, A., Abraham, J.: On-chip delay measurement for silicon debug. In: Proceedings of GLSVLSI’ 04, pp. 145–148 (Apr 2004) Datta, R., Sebastine, A., Raghunathan, A., Abraham, J.: On-chip delay measurement for silicon debug. In: Proceedings of GLSVLSI’ 04, pp. 145–148 (Apr 2004)
32.
Zurück zum Zitat Ghosh, S., Bhunia, S., Raychowdhury, A., Roy, K.: A novel delay fault testing methodology using low-overhead built-in delay sensor. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 25(12), 2934–2943 (2006)CrossRef Ghosh, S., Bhunia, S., Raychowdhury, A., Roy, K.: A novel delay fault testing methodology using low-overhead built-in delay sensor. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 25(12), 2934–2943 (2006)CrossRef
33.
Zurück zum Zitat Ghosh, A., Rao, R., Chuang, C., Brown, R.: On-chip process variation detection and compensation using delay and slew-rate monitoring circuits. In: Proceedings of ISQED’08, pp. 815–820 (Mar 2008) Ghosh, A., Rao, R., Chuang, C., Brown, R.: On-chip process variation detection and compensation using delay and slew-rate monitoring circuits. In: Proceedings of ISQED’08, pp. 815–820 (Mar 2008)
34.
Zurück zum Zitat Su, C., Chen, Y., Huang, M., Chen, G., Lee, C.: All digital built-in delay and crosstalk measurement for on-chip buses. In: Proceedings of DATE’00, pp. 527–531 (Mar 2004) Su, C., Chen, Y., Huang, M., Chen, G., Lee, C.: All digital built-in delay and crosstalk measurement for on-chip buses. In: Proceedings of DATE’00, pp. 527–531 (Mar 2004)
35.
Zurück zum Zitat Wang, X., Tehranipoor, M., Datta, R.: Path-RO: A novel on-chip critical path delay measurement under process variations. In: Proceedings of International Conference on Computer-Aided Design (ICCAD) (Nov 2008) Wang, X., Tehranipoor, M., Datta, R.: Path-RO: A novel on-chip critical path delay measurement under process variations. In: Proceedings of International Conference on Computer-Aided Design (ICCAD) (Nov 2008)
37.
Zurück zum Zitat Wang, S., Chen, J., Tehranipoor, M.: Representative critical reliability paths for low-cost and accurate on-chip aging evaluation. In: International Conference on Computer-Aided Design (ICCAD) (2012) Wang, S., Chen, J., Tehranipoor, M.: Representative critical reliability paths for low-cost and accurate on-chip aging evaluation. In: International Conference on Computer-Aided Design (ICCAD) (2012)
38.
Zurück zum Zitat Chen, J., Wang, S., Tehranipoor, M.: Critical-reliability path identification and delay analysis. ACM J. Emerg. Technol. Comput. Syst. (JETC) 10(2) (2014) Chen, J., Wang, S., Tehranipoor, M.: Critical-reliability path identification and delay analysis. ACM J. Emerg. Technol. Comput. Syst. (JETC) 10(2) (2014)
39.
Zurück zum Zitat Jolliffe, I.T.: Principal Component Analysis, 2nd edn, pp. 150–165. Springer, Berlin/ Heidelberg (2002) Jolliffe, I.T.: Principal Component Analysis, 2nd edn, pp. 150–165. Springer, Berlin/ Heidelberg (2002)
40.
Zurück zum Zitat Jin, Y., Makris, Y.: Hardware Trojan detection using path delay fingerprint. In: Proceedings of IEEE International Symposium on Hardware-Oriented Security and Trust (HOST) (2008) Jin, Y., Makris, Y.: Hardware Trojan detection using path delay fingerprint. In: Proceedings of IEEE International Symposium on Hardware-Oriented Security and Trust (HOST) (2008)
41.
Zurück zum Zitat Xiao, K., Zhang, X., Tehranipoor, M.: A clock sweeping technique for detecting hardware Trojans impacting circuits delay. IEEE Des. Test 30(2), 26–34 (2013)CrossRef Xiao, K., Zhang, X., Tehranipoor, M.: A clock sweeping technique for detecting hardware Trojans impacting circuits delay. IEEE Des. Test 30(2), 26–34 (2013)CrossRef
42.
Zurück zum Zitat Datta, R., Carpenter, G., Nowka, K., Abraham, J.: A scheme for on-chip timing characterization. In: Proceedings of VTS’06, pp. 24–29 (Apr 2004) Datta, R., Carpenter, G., Nowka, K., Abraham, J.: A scheme for on-chip timing characterization. In: Proceedings of VTS’06, pp. 24–29 (Apr 2004)
Metadaten
Titel
Circuit Timing Signature (CTS) for Detection of Counterfeit Integrated Circuits
verfasst von
Kan Xiao
Domenic Forte
Mohammad (Mark) Tehranipoor
Copyright-Jahr
2016
DOI
https://doi.org/10.1007/978-3-319-14971-4_6

Neuer Inhalt