Skip to main content

2015 | OriginalPaper | Buchkapitel

Memory Centric Hardware Prefetching in Multi-core Processors

verfasst von : Danfeng Zhu, Rui Wang, Zhongzhi Luan, Depei Qian, Han Zhang, Jihong Cai

Erschienen in: Trustworthy Computing and Services

Verlag: Springer Berlin Heidelberg

Aktivieren Sie unsere intelligente Suche, um passende Fachinhalte oder Patente zu finden.

search-config
loading …

Abstract

Hardware prefetching is widely employed in modern processors. It has been proved that prefetching can significantly improve application’s performance unless it exhibits sparse locality. Nevertheless, prefetching may result in performance degradation in CMP systems as it issues many off-chip memory requests. In this paper, we propose MCPref, a prefetching mechanism that is sensitive to the load of memory bus. Unlike traditional prefetching mechanism, MCPref opens when memory bus is starve and halts when memory bus is busy. Simulation results show that our non-feedback prefetcher design is effective in the scenario of multi-core architecture.

Sie haben noch keine Lizenz? Dann Informieren Sie sich jetzt über unsere Produkte:

Springer Professional "Wirtschaft+Technik"

Online-Abonnement

Mit Springer Professional "Wirtschaft+Technik" erhalten Sie Zugriff auf:

  • über 102.000 Bücher
  • über 537 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Finance + Banking
  • Management + Führung
  • Marketing + Vertrieb
  • Maschinenbau + Werkstoffe
  • Versicherung + Risiko

Jetzt Wissensvorsprung sichern!

Springer Professional "Technik"

Online-Abonnement

Mit Springer Professional "Technik" erhalten Sie Zugriff auf:

  • über 67.000 Bücher
  • über 390 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Maschinenbau + Werkstoffe




 

Jetzt Wissensvorsprung sichern!

Springer Professional "Wirtschaft"

Online-Abonnement

Mit Springer Professional "Wirtschaft" erhalten Sie Zugriff auf:

  • über 67.000 Bücher
  • über 340 Zeitschriften

aus folgenden Fachgebieten:

  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Finance + Banking
  • Management + Führung
  • Marketing + Vertrieb
  • Versicherung + Risiko




Jetzt Wissensvorsprung sichern!

Literatur
1.
Zurück zum Zitat Hinton, G., Sager, D., Upton, M., Boggs, D., Carmean, D., Kyker, A., Roussel, P.: The microarchitecture of the pentium 4 processor. Intel Technol. J. (Q1) (2001) Hinton, G., Sager, D., Upton, M., Boggs, D., Carmean, D., Kyker, A., Roussel, P.: The microarchitecture of the pentium 4 processor. Intel Technol. J. (Q1) (2001)
2.
Zurück zum Zitat Sinharoy, B., Kalla, R.N., Tendler, J.M., Eickemeyer, R.J., Joyner, J.B.: POWER5 system microarchitecture. IBM J. Res. Dev. 49(4/5), 505–521 (2005)CrossRef Sinharoy, B., Kalla, R.N., Tendler, J.M., Eickemeyer, R.J., Joyner, J.B.: POWER5 system microarchitecture. IBM J. Res. Dev. 49(4/5), 505–521 (2005)CrossRef
3.
Zurück zum Zitat Le, H.Q., Starke, W.J., Fields, J.S., Connell, F.O., Nguyen, D.Q., Ronchetti, B.J., Sauer, W.M., Schwarz, E.M., Waden, M.T.: IBM power6 microarchitecture. IBM J. Res. Dev. 51, 639–662 (2007)CrossRef Le, H.Q., Starke, W.J., Fields, J.S., Connell, F.O., Nguyen, D.Q., Ronchetti, B.J., Sauer, W.M., Schwarz, E.M., Waden, M.T.: IBM power6 microarchitecture. IBM J. Res. Dev. 51, 639–662 (2007)CrossRef
5.
Zurück zum Zitat VanderWiel, S., Lilja, D.J.: Data prefetch mechanisms. ACM Comput. Surv. 32(2), 174–199 (2000)CrossRef VanderWiel, S., Lilja, D.J.: Data prefetch mechanisms. ACM Comput. Surv. 32(2), 174–199 (2000)CrossRef
6.
Zurück zum Zitat Zhuang, X., Lee, H.-H.: Reducing cache pollution via dynamic data prefetch filtering. IEEE Trans. Comput. 56(1), 18–31 (2007). (water)MathSciNetCrossRef Zhuang, X., Lee, H.-H.: Reducing cache pollution via dynamic data prefetch filtering. IEEE Trans. Comput. 56(1), 18–31 (2007). (water)MathSciNetCrossRef
7.
Zurück zum Zitat Srinivasan, S.: Prefetching vs. the memory system: optimizations for multicore server platforms. Ph.D. thesis, University of Maryland, Dept. of Electrical & Computer Engineering (2007) Srinivasan, S.: Prefetching vs. the memory system: optimizations for multicore server platforms. Ph.D. thesis, University of Maryland, Dept. of Electrical & Computer Engineering (2007)
8.
Zurück zum Zitat Srinath, S., Mutlu, O., Kim, H., Patt, Y.N.: Feedback directed prefetching: improving the performance and bandwidth-efficiency of hardware prefetchers. In: Proceedings of the 13th International Symposium on High-Performance Computer Architecture (HPCA), Phoenix, AZ, pp. 63–74, February 2007 Srinath, S., Mutlu, O., Kim, H., Patt, Y.N.: Feedback directed prefetching: improving the performance and bandwidth-efficiency of hardware prefetchers. In: Proceedings of the 13th International Symposium on High-Performance Computer Architecture (HPCA), Phoenix, AZ, pp. 63–74, February 2007
9.
Zurück zum Zitat Lee, C.J., Mutlu, O., Narasiman, V., Patt, Y.N.: Prefetch-aware DRAM controllers. In: Proceedings of the 41st International Symposium on Microarchitecture (MICRO), Lake Como, Italy, pp. 200–209, November 2008 Lee, C.J., Mutlu, O., Narasiman, V., Patt, Y.N.: Prefetch-aware DRAM controllers. In: Proceedings of the 41st International Symposium on Microarchitecture (MICRO), Lake Como, Italy, pp. 200–209, November 2008
10.
Zurück zum Zitat Ebrahimi, E., et al.: Coordinated control of multiple prefetchers in multi-core systems. In: MICRO-42 (2009) Ebrahimi, E., et al.: Coordinated control of multiple prefetchers in multi-core systems. In: MICRO-42 (2009)
11.
Zurück zum Zitat Ebrahimi, E., Lee, C.J., Mutlu, O., Patt, Y.N.: Prefetch-aware shared resource management for multi-core systems. In: Proceedings of the 38th International Symposium on Computer Architecture (ISCA), San Jose, CA, June 2011 Ebrahimi, E., Lee, C.J., Mutlu, O., Patt, Y.N.: Prefetch-aware shared resource management for multi-core systems. In: Proceedings of the 38th International Symposium on Computer Architecture (ISCA), San Jose, CA, June 2011
12.
Zurück zum Zitat Mutlu, O., Moscibroda, T.: Parallelism-aware batch scheduling: enhancing both performance and fairness of shared DRAM systems. In: Proceedings of the 35th International Symposium on Computer Architecture (ISCA), Beijing, China, pp. 63–74, June 2008 Mutlu, O., Moscibroda, T.: Parallelism-aware batch scheduling: enhancing both performance and fairness of shared DRAM systems. In: Proceedings of the 35th International Symposium on Computer Architecture (ISCA), Beijing, China, pp. 63–74, June 2008
13.
Zurück zum Zitat Lee, C.J., Narasiman, V., Mutlu, O., Patt, Y.N.: Improving memory bank-level parallelism in the presence of prefetching. In: Proceedings of the 42nd International Symposium on Microarchitecture (MICRO), New York, NY, pp. 327–336, December 2009 Lee, C.J., Narasiman, V., Mutlu, O., Patt, Y.N.: Improving memory bank-level parallelism in the presence of prefetching. In: Proceedings of the 42nd International Symposium on Microarchitecture (MICRO), New York, NY, pp. 327–336, December 2009
14.
Zurück zum Zitat Stuecheli, J., Kaseridis, D., Daly, D., Hunter, H., John, L.K.: The virtual write queue: coordinating DRAM and last-level cache policies. In: The 37th Interenational Symposium on Computer Architecture, June 2010 Stuecheli, J., Kaseridis, D., Daly, D., Hunter, H., John, L.K.: The virtual write queue: coordinating DRAM and last-level cache policies. In: The 37th Interenational Symposium on Computer Architecture, June 2010
15.
Zurück zum Zitat Lee, C.J., Narasiman, V., Ebrahimi, E., Mutlu, O., Patt, Y.N.: DRAM-aware last-level cache writeback: reducing write-caused interference in memory systems. HPS Technical report, TR-HPS-2010–002, April 2010 Lee, C.J., Narasiman, V., Ebrahimi, E., Mutlu, O., Patt, Y.N.: DRAM-aware last-level cache writeback: reducing write-caused interference in memory systems. HPS Technical report, TR-HPS-2010–002, April 2010
16.
Zurück zum Zitat Casmira, J.P., Kaeli, D.R.: Modeling cache pollution. Int. J. Model. Simul. 19(2), 132–138 (1998) Casmira, J.P., Kaeli, D.R.: Modeling cache pollution. Int. J. Model. Simul. 19(2), 132–138 (1998)
17.
Zurück zum Zitat Jain, P., Devadas, S., Rudolph, L.: Controlling cache pollution in prefetching with software-assisted cache replacement. Technical report TR-CSG-462, Massachusetts Institute of Technology (2001) Jain, P., Devadas, S., Rudolph, L.: Controlling cache pollution in prefetching with software-assisted cache replacement. Technical report TR-CSG-462, Massachusetts Institute of Technology (2001)
18.
Zurück zum Zitat Megiddo, N., Modha, D.: ARC: a self-tuning, low overhead replacement cache. In: Proceedings the 2nd USENIX Conference on File and Storage Technologies, San Francisco, pp. 115–130, 31 March–2 April 2003 Megiddo, N., Modha, D.: ARC: a self-tuning, low overhead replacement cache. In: Proceedings the 2nd USENIX Conference on File and Storage Technologies, San Francisco, pp. 115–130, 31 March–2 April 2003
19.
Zurück zum Zitat Wu, C.J., Jaleel, A., Martonosi, M., Steely, S.C., Emer Jr., J.: PACMan: prefetch-aware cache management for high performance caching. In: Proceedings of the 44th International Symposium on Microarchitecture (MICRO) (2011) Wu, C.J., Jaleel, A., Martonosi, M., Steely, S.C., Emer Jr., J.: PACMan: prefetch-aware cache management for high performance caching. In: Proceedings of the 44th International Symposium on Microarchitecture (MICRO) (2011)
Metadaten
Titel
Memory Centric Hardware Prefetching in Multi-core Processors
verfasst von
Danfeng Zhu
Rui Wang
Zhongzhi Luan
Depei Qian
Han Zhang
Jihong Cai
Copyright-Jahr
2015
Verlag
Springer Berlin Heidelberg
DOI
https://doi.org/10.1007/978-3-662-47401-3_41