Skip to main content
Erschienen in:
Buchtitelbild

2013 | OriginalPaper | Buchkapitel

1. Regular Versus Irregular TSV Placement for 3D IC

verfasst von : Sung Kyu Lim

Erschienen in: Design for High Performance, Low Power, and Reliable 3D Integrated Circuits

Verlag: Springer New York

Aktivieren Sie unsere intelligente Suche, um passende Fachinhalte oder Patente zu finden.

search-config
loading …

Abstract

Through-silicon via (TSV) is the enabling technology for fine-grained integration of multiple dies into a single 3D stack. However, TSVs occupy significant silicon area due to their sheer size, which has a great effect on the power and performance of 3D ICs. Whereas well-managed TSVs alleviate routing congestion, reduce wirelength, and improve performance, excessive or ill-managed TSVs not only increase the die area but also degrade performance and power. In this chapter, we study the impact of TSVs on the quality of 3D IC layouts. We first study two design schemes, namely TSV co-placement (irregular TSV placement) and TSV site (regular TSV placement), for the design of 3D ICs. In addition, we develop a force-directed 3D gate-level placement algorithm to find optimal locations of TSVs and gates. One key problem to solve in regular TSV placement is how to assign 3D nets to pre-placed TSVs. To solve this problem effectively, we study two TSV assignment algorithms, compare them with other TSV assignment algorithms, and analyze the impact of the quality of TSV assignment algorithms on 3D ICs. Experimental results show that the wirelength of 3D ICs is shorter than that of 2D ICs by up to 25 %. We also compare timing and power of 2D and 3D ICs.
The materials presented in this chapter are based on [19].

Sie haben noch keine Lizenz? Dann Informieren Sie sich jetzt über unsere Produkte:

Springer Professional "Wirtschaft+Technik"

Online-Abonnement

Mit Springer Professional "Wirtschaft+Technik" erhalten Sie Zugriff auf:

  • über 102.000 Bücher
  • über 537 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Finance + Banking
  • Management + Führung
  • Marketing + Vertrieb
  • Maschinenbau + Werkstoffe
  • Versicherung + Risiko

Jetzt Wissensvorsprung sichern!

Springer Professional "Technik"

Online-Abonnement

Mit Springer Professional "Technik" erhalten Sie Zugriff auf:

  • über 67.000 Bücher
  • über 390 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Maschinenbau + Werkstoffe




 

Jetzt Wissensvorsprung sichern!

Fußnoten
1
We use diameter and width for cylindrical-shaped TSVs and square-shaped TSVs, respectively.
 
2
The area overhead caused by a TSV is due to the TSV, liner around the TSV, and keep-out zone. In our work, A TSV of a 1 ×TSV is 6.1009 μm2.
 
3
If we want to apply our design methodology to via-last type TSVs, we need additional steps. For the TSV co-placement flow, we need to avoid overlaps between two TSVs in adjacent dies. This can be resolved by applying another force between two TSVs in adjacent dies or by legalizing TSV locations after global placement. For the TSV-site flow, we can avoid overlaps between two TSVs in adjacent dies by using different TSV array size. If re-distribution layers exist, however, our design methodology can be directly applied to via-last type TSVs.
 
4
When the number of dies increases, if we ignore TSV area, the footprint area monotonically decreases. However, the number of TSVs has a great effect on the footprint area. If too many TSVs are used at a particular partitioning, the footprint area at that die count could increase.
 
5
The TSV capacitance used in [21] is 37 fF for a square-shaped TSV whose width and height are 5 and 50 μm, respectively. In our case, TSV width is 1.50 μm and TSV height is 20 μm, so we actually obtain 4.43 fF for our TSV capacitance by linear scaling because TSV capacitance is almost linearly proportional to TSV width and TSV height [28].
 
Literatur
1.
Zurück zum Zitat K. Bernstein, P. Andry, J. Cann, P. Emma, D. Greenberg, W. Haensch, M. Ignatowski, S. Koester, J. Magerlein, R. Puri, A. Young, Interconnects in the third dimension: design challenges for 3D ICs, in Proceedings of ACM Design Automation Conference (IEEE, Piscataway, 2007), pp. 562–567 K. Bernstein, P. Andry, J. Cann, P. Emma, D. Greenberg, W. Haensch, M. Ignatowski, S. Koester, J. Magerlein, R. Puri, A. Young, Interconnects in the third dimension: design challenges for 3D ICs, in Proceedings of ACM Design Automation Conference (IEEE, Piscataway, 2007), pp. 562–567
2.
Zurück zum Zitat E. Beyne, P.D. Moor, W. Ruythooren, R. Labie, A. Jourdain, H. Tilmans, D.S. Tezcan, P. Soussan, B. Swinnen, R. Cartuyvels, Through-silicon via and die stacking technologies for microsystems-integration, in Proceedings of IEEE International Electron Devices Meeting (IEEE, Piscataway, 2008), pp. 1–4 E. Beyne, P.D. Moor, W. Ruythooren, R. Labie, A. Jourdain, H. Tilmans, D.S. Tezcan, P. Soussan, B. Swinnen, R. Cartuyvels, Through-silicon via and die stacking technologies for microsystems-integration, in Proceedings of IEEE International Electron Devices Meeting (IEEE, Piscataway, 2008), pp. 1–4
3.
Zurück zum Zitat Cadence Design Systems, QRC Extraction Users Manual 8.1.2 Cadence Design Systems, QRC Extraction Users Manual 8.1.2
5.
Zurück zum Zitat H. Chaabouni, M. Rousseau, P. Leduc, A. Farcy, R.E. Farhane, A. Thuaire, G. Haury, A. Valentian, G. Billiot, M. Assous, F.D. Crecy, J. Cluzel, A. Toffoli, D. Bouchu, L. Cadix, T. Lacrevaz, P. Ancey, N. Sillon, B. Flechet, Investigation on TSV impact on 65 nm CMOS devices and circuits, in Proceedings of IEEE International Electron Devices Meeting (IEEE, Piscataway, 2010) H. Chaabouni, M. Rousseau, P. Leduc, A. Farcy, R.E. Farhane, A. Thuaire, G. Haury, A. Valentian, G. Billiot, M. Assous, F.D. Crecy, J. Cluzel, A. Toffoli, D. Bouchu, L. Cadix, T. Lacrevaz, P. Ancey, N. Sillon, B. Flechet, Investigation on TSV impact on 65 nm CMOS devices and circuits, in Proceedings of IEEE International Electron Devices Meeting (IEEE, Piscataway, 2010)
6.
Zurück zum Zitat J. Cong, S.K. Lim, Edge separability based circuit clustering with application to multi-level circuit partitioning. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 23(3), 346–357 (2004)CrossRef J. Cong, S.K. Lim, Edge separability based circuit clustering with application to multi-level circuit partitioning. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 23(3), 346–357 (2004)CrossRef
7.
Zurück zum Zitat J. Cong, G. Luo, A Multilevel analytical placement for 3D ICs, in Proceedings of Asia and South Pacific Design Automation Conference (IEEE, Piscataway, 2009) J. Cong, G. Luo, A Multilevel analytical placement for 3D ICs, in Proceedings of Asia and South Pacific Design Automation Conference (IEEE, Piscataway, 2009)
8.
Zurück zum Zitat J. Cong, G. Luo, J. Wei, Y. Zhang, Thermal-aware 3D IC placement via transformation, in Proceedings of Asia and South Pacific Design Automation Conference (IEEE, Piscataway, 2007), pp. 780–785 J. Cong, G. Luo, J. Wei, Y. Zhang, Thermal-aware 3D IC placement via transformation, in Proceedings of Asia and South Pacific Design Automation Conference (IEEE, Piscataway, 2007), pp. 780–785
9.
Zurück zum Zitat X. Dong, Y. Xie, System-level cost analysis and design exploration for three-dimensional integrated circuits (3D ICs), in Proceedings of Asia and South Pacific Design Automation Conference (IEEE, Piscataway, 2009), pp. 234–241 X. Dong, Y. Xie, System-level cost analysis and design exploration for three-dimensional integrated circuits (3D ICs), in Proceedings of Asia and South Pacific Design Automation Conference (IEEE, Piscataway, 2009), pp. 234–241
10.
Zurück zum Zitat B. Goplen, S. Sapatnekar, Efficient thermal placement of standard cells in 3D ICs using a force directed approach, in Proceedings of IEEE International Conference on Computer-Aided Design (ACM, New York; IEEE, Piscataway, 2003) B. Goplen, S. Sapatnekar, Efficient thermal placement of standard cells in 3D ICs using a force directed approach, in Proceedings of IEEE International Conference on Computer-Aided Design (ACM, New York; IEEE, Piscataway, 2003)
11.
Zurück zum Zitat B. Goplen, S. Sapatnekar, Thermal via placement in 3D ICs, in Proceedings of International Symposium on Physical Design (ACM, New York, 2005), pp. 167–174 B. Goplen, S. Sapatnekar, Thermal via placement in 3D ICs, in Proceedings of International Symposium on Physical Design (ACM, New York, 2005), pp. 167–174
12.
Zurück zum Zitat B. Goplen, S. Sapatnekar, Placement of 3D ICs with thermal and interlayer via considerations, in Proceedings of ACM Design Automation Conference (IEEE, Piscataway, 2007), pp. 626–631 B. Goplen, S. Sapatnekar, Placement of 3D ICs with thermal and interlayer via considerations, in Proceedings of ACM Design Automation Conference (IEEE, Piscataway, 2007), pp. 626–631
13.
Zurück zum Zitat H. Hua, C. Mineo, K. Schoenfliess, A. Sule, S. Melamed, R. Jenkal, W.R. Davis, Exploring compromises among timing, power and temperature in three-dimensional integrated circuits, in Proceedings of ACM Design Automation Conference (IEEE, Piscataway, 2006), pp. 997–1002 H. Hua, C. Mineo, K. Schoenfliess, A. Sule, S. Melamed, R. Jenkal, W.R. Davis, Exploring compromises among timing, power and temperature in three-dimensional integrated circuits, in Proceedings of ACM Design Automation Conference (IEEE, Piscataway, 2006), pp. 997–1002
16.
Zurück zum Zitat J.W. Joyner, P. Zarkesh-Ha, J.A. Davis, J.D. Meindl, A three-dimensional stochastic wire-length distribution for variable separation of strata, in Proceedings of IEEE International Interconnect Technology Conference (IEEE, Piscataway, 2000), pp. 126–128 J.W. Joyner, P. Zarkesh-Ha, J.A. Davis, J.D. Meindl, A three-dimensional stochastic wire-length distribution for variable separation of strata, in Proceedings of IEEE International Interconnect Technology Conference (IEEE, Piscataway, 2000), pp. 126–128
18.
Zurück zum Zitat D.H. Kim, S.K. Lim, Through-silicon-via-aware delay and power prediction model for buffered interconnects in 3D ICs, in Proceedings of ACM/IEEE International Workshop on System Level Interconnect Prediction (ACM, New York, 2010), pp. 25–32 D.H. Kim, S.K. Lim, Through-silicon-via-aware delay and power prediction model for buffered interconnects in 3D ICs, in Proceedings of ACM/IEEE International Workshop on System Level Interconnect Prediction (ACM, New York, 2010), pp. 25–32
19.
Zurück zum Zitat D.H. Kim, K. Athikulwongse, S.K. Lim, A study of through-silicon-via impact on the 3D stacked IC layout, in Proceedings of IEEE International Conference on Computer-Aided Design (ACM, New York, 2009) D.H. Kim, K. Athikulwongse, S.K. Lim, A study of through-silicon-via impact on the 3D stacked IC layout, in Proceedings of IEEE International Conference on Computer-Aided Design (ACM, New York, 2009)
20.
Zurück zum Zitat D.H. Kim, S. Mukhopadhyay, S.K. Lim, Through-silicon-via aware interconnect prediction and optimization for 3D stacked ICs, in Proceedings of ACM/IEEE International Workshop on System Level Interconnect Prediction (ACM, New York, 2009), pp. 85–92 D.H. Kim, S. Mukhopadhyay, S.K. Lim, Through-silicon-via aware interconnect prediction and optimization for 3D stacked ICs, in Proceedings of ACM/IEEE International Workshop on System Level Interconnect Prediction (ACM, New York, 2009), pp. 85–92
21.
Zurück zum Zitat D.H. Kim, S. Mukhopadhyay, S.K. Lim, TSV-aware interconnect length and power prediction for 3D stacked ICs, in Proceedings of IEEE International Interconnect Technology Conference (IEEE, Piscataway, 2009), pp. 26–28 D.H. Kim, S. Mukhopadhyay, S.K. Lim, TSV-aware interconnect length and power prediction for 3D stacked ICs, in Proceedings of IEEE International Interconnect Technology Conference (IEEE, Piscataway, 2009), pp. 26–28
22.
Zurück zum Zitat M. Koyanagi, T. Fukushima, T. Tanaka, High-deisnty through silicon vias for 3-D LSIs, in Proceedings of the IEEE (IEEE, Piscataway 2009), pp. 49–59 M. Koyanagi, T. Fukushima, T. Tanaka, High-deisnty through silicon vias for 3-D LSIs, in Proceedings of the IEEE (IEEE, Piscataway 2009), pp. 49–59
23.
Zurück zum Zitat H.W. Kuhn. The hungarian method for the assignment problem. Nav. Res. Logist. 2, 83–97 (1955)CrossRef H.W. Kuhn. The hungarian method for the assignment problem. Nav. Res. Logist. 2, 83–97 (1955)CrossRef
24.
Zurück zum Zitat Y.-J. Lee, S.K. Lim, Timing analysis and optimization for 3D stacked multi-core microprocessors, in Proceedings of International 3D System Integration Conference (IEEE, Piscataway, 2010) Y.-J. Lee, S.K. Lim, Timing analysis and optimization for 3D stacked multi-core microprocessors, in Proceedings of International 3D System Integration Conference (IEEE, Piscataway, 2010)
25.
Zurück zum Zitat H.Y. Li, E. Liao, X.F. Pang, H. Yu, X.X. Yu, J.Y. Sun, Fast electroplating TSV process development for the via-last approach, in IEEE Electronic Components and Technology Conference (IEEE, Piscataway, 2010), pp. 777–780 H.Y. Li, E. Liao, X.F. Pang, H. Yu, X.X. Yu, J.Y. Sun, Fast electroplating TSV process development for the via-last approach, in IEEE Electronic Components and Technology Conference (IEEE, Piscataway, 2010), pp. 777–780
28.
Zurück zum Zitat I. Savidis, E.G. Friedman, Closed-form expressions of 3-D via resistance, inductance, and capacitance. IEEE Trans. Electron Devices 56(9), 1873–1881 (2009)CrossRef I. Savidis, E.G. Friedman, Closed-form expressions of 3-D via resistance, inductance, and capacitance. IEEE Trans. Electron Devices 56(9), 1873–1881 (2009)CrossRef
29.
Zurück zum Zitat P. Spindler, U. Schlichtmann, F.M. Johannes, Kraftwerk2 – a fast force-directed quadratic placement approach using an accurate net model. IEEE Trans Comput. Aided Des. Integr. Circuits Syst. 27(8), 1398–1411 (2008)CrossRef P. Spindler, U. Schlichtmann, F.M. Johannes, Kraftwerk2 – a fast force-directed quadratic placement approach using an accurate net model. IEEE Trans Comput. Aided Des. Integr. Circuits Syst. 27(8), 1398–1411 (2008)CrossRef
31.
Zurück zum Zitat T. Thorolfsson, K. Gonsalves, P.D. Franzon, Design automation for a 3DIC FFT processor for synthetic aperture radar: a case study, in Proceedings of ACM Design Automation Conference (ACM, New York, 2009), pp. 51–56 T. Thorolfsson, K. Gonsalves, P.D. Franzon, Design automation for a 3DIC FFT processor for synthetic aperture radar: a case study, in Proceedings of ACM Design Automation Conference (ACM, New York, 2009), pp. 51–56
32.
Zurück zum Zitat M.-C. Tsai, T.-C. Wang, T. Hwang, Through-silicon via planning in 3-D floorplanning, in IEEE Transactions on VLSI Systems (IEEE, New York, 2010) M.-C. Tsai, T.-C. Wang, T. Hwang, Through-silicon via planning in 3-D floorplanning, in IEEE Transactions on VLSI Systems (IEEE, New York, 2010)
33.
Zurück zum Zitat H. Yan, Z. Li, Q. Zhou, X. Hong, Via assignment algorithm for hierarchical 3-D placement, in Proceedings of IEEE International Conference on Communications, Circuits and Systems (IEEE, Piscataway, 2005), pp. 1225–1229 H. Yan, Z. Li, Q. Zhou, X. Hong, Via assignment algorithm for hierarchical 3-D placement, in Proceedings of IEEE International Conference on Communications, Circuits and Systems (IEEE, Piscataway, 2005), pp. 1225–1229
Metadaten
Titel
Regular Versus Irregular TSV Placement for 3D IC
verfasst von
Sung Kyu Lim
Copyright-Jahr
2013
Verlag
Springer New York
DOI
https://doi.org/10.1007/978-1-4419-9542-1_1

Neuer Inhalt