Skip to main content

2017 | OriginalPaper | Buchkapitel

10. Split Manufacturing

verfasst von : Siddharth Garg, Jeyavijayan (JV) Rajendran

Erschienen in: Hardware Protection through Obfuscation

Verlag: Springer International Publishing

Aktivieren Sie unsere intelligente Suche, um passende Fachinhalte oder Patente zu finden.

search-config
loading …

Abstract

This chapter discusses split manufacturing, a promising hardware obfuscation technique that partitions a chip into two or more parts, each fabricated at a separate foundry. No one foundry sees the entire design, hindering its ability to thieve the chip’s IP or (as we discuss) maliciously modify the chip. Building upon this intuitive idea, this chapter describes relevant threat models for split manufacturing, a quantitative notion of security for split manufacturing, and techniques to trade off “cost” for security.

Sie haben noch keine Lizenz? Dann Informieren Sie sich jetzt über unsere Produkte:

Springer Professional "Wirtschaft+Technik"

Online-Abonnement

Mit Springer Professional "Wirtschaft+Technik" erhalten Sie Zugriff auf:

  • über 102.000 Bücher
  • über 537 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Finance + Banking
  • Management + Führung
  • Marketing + Vertrieb
  • Maschinenbau + Werkstoffe
  • Versicherung + Risiko

Jetzt Wissensvorsprung sichern!

Springer Professional "Technik"

Online-Abonnement

Mit Springer Professional "Technik" erhalten Sie Zugriff auf:

  • über 67.000 Bücher
  • über 390 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Maschinenbau + Werkstoffe




 

Jetzt Wissensvorsprung sichern!

Springer Professional "Wirtschaft"

Online-Abonnement

Mit Springer Professional "Wirtschaft" erhalten Sie Zugriff auf:

  • über 67.000 Bücher
  • über 340 Zeitschriften

aus folgenden Fachgebieten:

  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Finance + Banking
  • Management + Führung
  • Marketing + Vertrieb
  • Versicherung + Risiko




Jetzt Wissensvorsprung sichern!

Literatur
1.
Zurück zum Zitat Intelligence Advanced Research Projects Activity. Trusted Integrated Circuits Program Intelligence Advanced Research Projects Activity. Trusted Integrated Circuits Program
2.
Zurück zum Zitat Vaidyanathan K, Das BP, Sumbul E, Liu R, Pileggi L (2014) Building trusted ics using split fabrication. In: IEEE international symposium on hardware-oriented security and trust (HOST), 2014. IEEE, pp 1–6 Vaidyanathan K, Das BP, Sumbul E, Liu R, Pileggi L (2014) Building trusted ics using split fabrication. In: IEEE international symposium on hardware-oriented security and trust (HOST), 2014. IEEE, pp 1–6
3.
Zurück zum Zitat Goplen B, Spatnekar S (2007) Placement of 3d ics with thermal and interlayer via considerations. In: Proceedings of the 44th annual design automation conference. ACM, pp 626–631 Goplen B, Spatnekar S (2007) Placement of 3d ics with thermal and interlayer via considerations. In: Proceedings of the 44th annual design automation conference. ACM, pp 626–631
4.
Zurück zum Zitat Rajendran J, Sinanoglu O, Karri R (2013) Is split manufacturing secure? In: Proceedings of IEEE/ACM conference on design automation and test in Europe, pp 1259–1264 Rajendran J, Sinanoglu O, Karri R (2013) Is split manufacturing secure? In: Proceedings of IEEE/ACM conference on design automation and test in Europe, pp 1259–1264
5.
Zurück zum Zitat Selvakkumaran N, Karypis G (2006) Multiobjective hypergraph-partitioning algorithms for cut and maximum subdomain-degree minimization. IEEE Trans Comput-Aid Des Integr Circuits Syst 25(3):504–517CrossRef Selvakkumaran N, Karypis G (2006) Multiobjective hypergraph-partitioning algorithms for cut and maximum subdomain-degree minimization. IEEE Trans Comput-Aid Des Integr Circuits Syst 25(3):504–517CrossRef
6.
Zurück zum Zitat Hoornaert F, Goubert J, Desmedt Y (1985) Efficient hardware implementation of the des. In: Advances in cryptology. Springer, pp 147–173 Hoornaert F, Goubert J, Desmedt Y (1985) Efficient hardware implementation of the des. In: Advances in cryptology. Springer, pp 147–173
7.
Zurück zum Zitat Ichikawa T, Kasuya T, Matsui M (2000) Hardware evaluation of the aes finalists. In: AES candidate conference, pp 279–285 Ichikawa T, Kasuya T, Matsui M (2000) Hardware evaluation of the aes finalists. In: AES candidate conference, pp 279–285
8.
Zurück zum Zitat Tehranipoor M, Koushanfar F (2010) A survey of hardware trojan taxonomy and detection. IEEE Des Test Comput 27(1):10–25CrossRef Tehranipoor M, Koushanfar F (2010) A survey of hardware trojan taxonomy and detection. IEEE Des Test Comput 27(1):10–25CrossRef
9.
Zurück zum Zitat King ST, Tucek J, Cozzie A, Grier C, Jiang W, Zhou Y (2008) Designing and implementing malicious hardware. In: Proceedings of the 1st usenix workshop on large-scale exploits and emergent threats. USENIX Association, p 5 King ST, Tucek J, Cozzie A, Grier C, Jiang W, Zhou Y (2008) Designing and implementing malicious hardware. In: Proceedings of the 1st usenix workshop on large-scale exploits and emergent threats. USENIX Association, p 5
10.
Zurück zum Zitat Lin L, Kasper M, Güneysu T, Paar C, Burleson W (2009) Trojan side-channels: lightweight hardware trojans through side-channel engineering. In: Cryptographic hardware and embedded systems-CHES 2009. Springer, pp 382–395 Lin L, Kasper M, Güneysu T, Paar C, Burleson W (2009) Trojan side-channels: lightweight hardware trojans through side-channel engineering. In: Cryptographic hardware and embedded systems-CHES 2009. Springer, pp 382–395
11.
Zurück zum Zitat Shiyanovskii Y, Wolff F, Rajendran A, Papachristou C, Weyer D, Clay W (2010) Process reliability based trojans through nbti and hci effects. In: 2010 NASA/ESA conference on adaptive hardware and systems (AHS). IEEE, pp 215–222 Shiyanovskii Y, Wolff F, Rajendran A, Papachristou C, Weyer D, Clay W (2010) Process reliability based trojans through nbti and hci effects. In: 2010 NASA/ESA conference on adaptive hardware and systems (AHS). IEEE, pp 215–222
12.
Zurück zum Zitat Yang K, Hicks M, Dong Q, Austin T, Sylvester D (2016) A2: analog malicious hardware Yang K, Hicks M, Dong Q, Austin T, Sylvester D (2016) A2: analog malicious hardware
13.
Zurück zum Zitat Imeson F, Emtenan A, Garg S, Tripunitara M (2013) Securing computer hardware using 3D integrated circuit (IC) technology and split manufacturing for obfuscation. In: Proceedings of USENIX security, pp 495–510 Imeson F, Emtenan A, Garg S, Tripunitara M (2013) Securing computer hardware using 3D integrated circuit (IC) technology and split manufacturing for obfuscation. In: Proceedings of USENIX security, pp 495–510
14.
Zurück zum Zitat Boneh D, DeMillo RA, Lipton RJ (1997) On the importance of checking cryptographic protocols for faults. In: Proceedings of the international conference on theory and application of cryptographic techniques, pp 37–51 Boneh D, DeMillo RA, Lipton RJ (1997) On the importance of checking cryptographic protocols for faults. In: Proceedings of the international conference on theory and application of cryptographic techniques, pp 37–51
15.
Zurück zum Zitat Hicks M, Finnicum M, King ST, Martin MMK, Smith JM (2010) overcoming an untrusted computing base: detecting and removing malicious hardware automatically. In: IEEE symposium on security and privacy, pp 159–172 Hicks M, Finnicum M, King ST, Martin MMK, Smith JM (2010) overcoming an untrusted computing base: detecting and removing malicious hardware automatically. In: IEEE symposium on security and privacy, pp 159–172
16.
Zurück zum Zitat Bhunia S, Hsiao MS, Banga M, Narasimhan S (2014) Hardware trojan attacks: threat analysis and countermeasures. Proc IEEE 102(8):1229–1247CrossRef Bhunia S, Hsiao MS, Banga M, Narasimhan S (2014) Hardware trojan attacks: threat analysis and countermeasures. Proc IEEE 102(8):1229–1247CrossRef
17.
Zurück zum Zitat El Massad M (2014) On the complexity of the circuit obfuscation problem for split manufacturing El Massad M (2014) On the complexity of the circuit obfuscation problem for split manufacturing
18.
Zurück zum Zitat Shafique M, Garg S, Henkel J, Marculescu D (2014) The eda challenges in the dark silicon era. In: Design automation conference (DAC), 2014 51st ACM/EDAC/IEEE. IEEE, pp 1–6 Shafique M, Garg S, Henkel J, Marculescu D (2014) The eda challenges in the dark silicon era. In: Design automation conference (DAC), 2014 51st ACM/EDAC/IEEE. IEEE, pp 1–6
19.
Zurück zum Zitat Xie Y, Bao C, Srivastava A (2015) Security-aware design flow for 2.5 d ic technology. In: Proceedings of the 5th international workshop on trustworthy embedded devices. ACM, pp 31–38 Xie Y, Bao C, Srivastava A (2015) Security-aware design flow for 2.5 d ic technology. In: Proceedings of the 5th international workshop on trustworthy embedded devices. ACM, pp 31–38
20.
Zurück zum Zitat Jagasivamani M, Gadfort P, Sika M, Bajura M, Fritze M (2014) Split-fabrication obfuscation: metrics and techniques. In: 2014 IEEE international symposium on hardware-oriented security and trust (HOST). IEEE, pp 7–12 Jagasivamani M, Gadfort P, Sika M, Bajura M, Fritze M (2014) Split-fabrication obfuscation: metrics and techniques. In: 2014 IEEE international symposium on hardware-oriented security and trust (HOST). IEEE, pp 7–12
21.
Zurück zum Zitat Cachin C (1997) Entropy measures and unconditional security in cryptography. PhD thesis, Swiss Federal Institute of Technology Zurich Cachin C (1997) Entropy measures and unconditional security in cryptography. PhD thesis, Swiss Federal Institute of Technology Zurich
22.
Zurück zum Zitat Xiao K, Forte D, Tehranipoor MM (2015) Efficient and secure split manufacturing via obfuscated built-in self-authentication. In: 2015 IEEE international symposium on hardware oriented security and trust (HOST). IEEE, pp 14–19 Xiao K, Forte D, Tehranipoor MM (2015) Efficient and secure split manufacturing via obfuscated built-in self-authentication. In: 2015 IEEE international symposium on hardware oriented security and trust (HOST). IEEE, pp 14–19
23.
Zurück zum Zitat Otero CTO, Tse J, Karmazin R, Hill B, Manohar R (2015) Automatic obfuscated cell layout for trusted split-foundry design. In: 2015 IEEE international symposium on hardware oriented security and trust (HOST). IEEE, pp 56–61 Otero CTO, Tse J, Karmazin R, Hill B, Manohar R (2015) Automatic obfuscated cell layout for trusted split-foundry design. In: 2015 IEEE international symposium on hardware oriented security and trust (HOST). IEEE, pp 56–61
24.
Zurück zum Zitat Vaidyanathan K, Liu R, Sumbul E, Zhu Q, Franchetti F, Pileggi L (2014) Efficient and secure intellectual property (ip) design with split fabrication. In: 2014 IEEE international symposium on hardware-oriented security and trust (HOST). IEEE pp 13–18 Vaidyanathan K, Liu R, Sumbul E, Zhu Q, Franchetti F, Pileggi L (2014) Efficient and secure intellectual property (ip) design with split fabrication. In: 2014 IEEE international symposium on hardware-oriented security and trust (HOST). IEEE pp 13–18
25.
Zurück zum Zitat Bi Y, Yuan J-S, Jin Y (2015) Split manufacturing in radio-frequency designs. In: Proceedings of the international conference on security and management (SAM), p 204. The steering committee of the world congress in computer science, computer engineering and applied computing (WorldComp) Bi Y, Yuan J-S, Jin Y (2015) Split manufacturing in radio-frequency designs. In: Proceedings of the international conference on security and management (SAM), p 204. The steering committee of the world congress in computer science, computer engineering and applied computing (WorldComp)
Metadaten
Titel
Split Manufacturing
verfasst von
Siddharth Garg
Jeyavijayan (JV) Rajendran
Copyright-Jahr
2017
DOI
https://doi.org/10.1007/978-3-319-49019-9_10

Neuer Inhalt