Skip to main content
Erschienen in: Journal of Sol-Gel Science and Technology 1-2/2008

01.11.2008 | Original Paper

Superior mechanical properties of dense and porous organic/inorganic hybrid thin films

verfasst von: Geraud Dubois, Willi Volksen, Teddie Magbitang, Mark H. Sherwood, Robert D. Miller, David M. Gage, Reinhold H. Dauskardt

Erschienen in: Journal of Sol-Gel Science and Technology | Ausgabe 1-2/2008

Einloggen

Aktivieren Sie unsere intelligente Suche, um passende Fachinhalte oder Patente zu finden.

search-config
loading …

Abstract

The intrinsic mechanical properties of a given material strongly depend upon its chemical nature: the organics tend to be soft, but tough, while the inorganic materials are hard but brittle and are prone to fracture. The later characteristic gets even worse for porous materials and is of major concern in the microelectronics industry as porous organosilicates (mainly inorganic) will constitute the insulating layers in future electronic devices. In this paper, we demonstrate that significantly tougher organosilicate glass thin-films prepared by sol–gel process, can be obtained by introducing carbon bridging units between silicon atoms present in the organosilicate network. A fracture energy value of 15 J/m2 was measured, surprisingly higher than that for dense silicon dioxide (10 J/m2), suggesting mechanical properties that lie somewhere in between those of conventional glasses and organic polymers. We also found that the Young’s modulus follows a linear decay when porosity is introduced, a unique property when compared to traditional organosilicates. As a result, crack resistant films were obtained at high levels of porosity, opening potential applications in the fields of low-k materials for future integrated circuits, membranes, sensors, waveguides, fuel cells and micro-fluidic channels.

Sie haben noch keine Lizenz? Dann Informieren Sie sich jetzt über unsere Produkte:

Springer Professional "Technik"

Online-Abonnement

Mit Springer Professional "Technik" erhalten Sie Zugriff auf:

  • über 67.000 Bücher
  • über 390 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Maschinenbau + Werkstoffe




 

Jetzt Wissensvorsprung sichern!

Springer Professional "Wirtschaft+Technik"

Online-Abonnement

Mit Springer Professional "Wirtschaft+Technik" erhalten Sie Zugriff auf:

  • über 102.000 Bücher
  • über 537 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Finance + Banking
  • Management + Führung
  • Marketing + Vertrieb
  • Maschinenbau + Werkstoffe
  • Versicherung + Risiko

Jetzt Wissensvorsprung sichern!

Literatur
1.
Zurück zum Zitat Edelstein D, Davis C, Clevenger L, Yoon M, Cowley A, Nogami T, Rathore H, Agarwala B, Arai S, Carbone A, Chanda K, Cohen S, Cote W, Cullinan M, Dalton T, Das S, Davis P, Demarest J, Dunn D, Dziobkowski C, Filippi R, Fitzsimmons J, Flaitz P, Gates S, Gill J, Grill A, Hawken D, Ida K, Klaus D, Klymko N, Lane M, Lane S, Lee J, Landers W, Li WK, Lin YH, Liniger E, Liu XH, Madan A, Malhotra S, Martin J, Molis S, Muzzy C, Nguyen D, Nguyen S, Ono M, Parks D, Questad D, Restaino D, Sakamoto A, Shaw T, Shimooka Y, Simon A, Simonyi E, Tempest S, van Kleeck T, Vogt S, Wang YY, Wille W, Wright J, Yang CC, Ivers T (2004) Reliability, yield, and performance of a 90 nm SOI/Cu/SiCOH technology. In: Proceedings of the 7th IEEE international interconnect technology conference, Burlingame, CA, United States, June 7–9, p 214 Edelstein D, Davis C, Clevenger L, Yoon M, Cowley A, Nogami T, Rathore H, Agarwala B, Arai S, Carbone A, Chanda K, Cohen S, Cote W, Cullinan M, Dalton T, Das S, Davis P, Demarest J, Dunn D, Dziobkowski C, Filippi R, Fitzsimmons J, Flaitz P, Gates S, Gill J, Grill A, Hawken D, Ida K, Klaus D, Klymko N, Lane M, Lane S, Lee J, Landers W, Li WK, Lin YH, Liniger E, Liu XH, Madan A, Malhotra S, Martin J, Molis S, Muzzy C, Nguyen D, Nguyen S, Ono M, Parks D, Questad D, Restaino D, Sakamoto A, Shaw T, Shimooka Y, Simon A, Simonyi E, Tempest S, van Kleeck T, Vogt S, Wang YY, Wille W, Wright J, Yang CC, Ivers T (2004) Reliability, yield, and performance of a 90 nm SOI/Cu/SiCOH technology. In: Proceedings of the 7th IEEE international interconnect technology conference, Burlingame, CA, United States, June 7–9, p 214
2.
Zurück zum Zitat Grill A, Edelstein D, Restaino D, Lane M, Gates S, Liniger E, Shaw T, Liu XH, Klaus D, Patel V, Cohen S, Simonyi E, Klymko N, Lane S, Ida K, Vogt S, van Kleeck T, Davis C, Ono M, Nogami T, Ivers T (2004) Optimization of SiCOH dielectrics for integration in a 90 nm CMOS technology. In: Proceedings of the 7th IEEE international interconnect technology conference, Burlingame, CA, United States, June 7–9, p 54 Grill A, Edelstein D, Restaino D, Lane M, Gates S, Liniger E, Shaw T, Liu XH, Klaus D, Patel V, Cohen S, Simonyi E, Klymko N, Lane S, Ida K, Vogt S, van Kleeck T, Davis C, Ono M, Nogami T, Ivers T (2004) Optimization of SiCOH dielectrics for integration in a 90 nm CMOS technology. In: Proceedings of the 7th IEEE international interconnect technology conference, Burlingame, CA, United States, June 7–9, p 54
3.
Zurück zum Zitat Dubois G, Volksen W, Miller RD (2007) Spin-on dielectric materials. In: Baklanov M, Maex K, Green M (eds) Dielectric films for advanced microelectronics. Wiley, NY, p 33CrossRef Dubois G, Volksen W, Miller RD (2007) Spin-on dielectric materials. In: Baklanov M, Maex K, Green M (eds) Dielectric films for advanced microelectronics. Wiley, NY, p 33CrossRef
4.
Zurück zum Zitat Grill A (2007) Low and ultralow dielectric constant films prepared by plasma-enhanced chemical vapor deposition. In: Baklanov M, Maex K, Green M (eds) Dielectric films for advanced microelectronics. Wiley, NY, p 1CrossRef Grill A (2007) Low and ultralow dielectric constant films prepared by plasma-enhanced chemical vapor deposition. In: Baklanov M, Maex K, Green M (eds) Dielectric films for advanced microelectronics. Wiley, NY, p 1CrossRef
5.
Zurück zum Zitat Lin S, Jin C, Lui L, Tsai M, Daniels M, Gonzalez A, Wetzel JT, Monnig KA, Winebarger PA, Jang S, Yu D, Liang MS (2001) Low-k dielectrics characterization for damascene integration. In: Proceedings of the IEEE international interconnect technology conference, Burlingame, CA, USA, p 146 Lin S, Jin C, Lui L, Tsai M, Daniels M, Gonzalez A, Wetzel JT, Monnig KA, Winebarger PA, Jang S, Yu D, Liang MS (2001) Low-k dielectrics characterization for damascene integration. In: Proceedings of the IEEE international interconnect technology conference, Burlingame, CA, USA, p 146
6.
Zurück zum Zitat Ryan ET, Ho H-M, Wu W-L, Ho PS, Gidley DW, Drage J (1999) Material property characterization and integration issues for mesoporous silica. In: Proceedings of the IEEE international interconnect technology conference, San Francisco, May 24–26, p 187 Ryan ET, Ho H-M, Wu W-L, Ho PS, Gidley DW, Drage J (1999) Material property characterization and integration issues for mesoporous silica. In: Proceedings of the IEEE international interconnect technology conference, San Francisco, May 24–26, p 187
8.
Zurück zum Zitat Guyer EP, Dauskardt RH (2005) Effect of porosity on reducing cohesive strength and accelerating crack growth in ultra low-k thin-films. In: Proceedings of the 5th IEEE international interconnect technology conference, Burlingame, CA, USA, June 3–5, p 223 Guyer EP, Dauskardt RH (2005) Effect of porosity on reducing cohesive strength and accelerating crack growth in ultra low-k thin-films. In: Proceedings of the 5th IEEE international interconnect technology conference, Burlingame, CA, USA, June 3–5, p 223
10.
Zurück zum Zitat Kloster G, Scherban T, Xu G, Blaine J, Sun B, Zhou Y (2002) Porosity effects on low-k dielectric film strength and interfacial adhesion. In: Proceedings of the 5th IEEE international interconnect technology conference, Burlingame, CA, USA, June 3–5, p 242 Kloster G, Scherban T, Xu G, Blaine J, Sun B, Zhou Y (2002) Porosity effects on low-k dielectric film strength and interfacial adhesion. In: Proceedings of the 5th IEEE international interconnect technology conference, Burlingame, CA, USA, June 3–5, p 242
11.
Zurück zum Zitat Liu J, Gan D, Hu C, Kiene M, Ho PS, Volksen W, Miller RD (2002) Appl Phys Lett 81:4180CrossRef Liu J, Gan D, Hu C, Kiene M, Ho PS, Volksen W, Miller RD (2002) Appl Phys Lett 81:4180CrossRef
13.
Zurück zum Zitat Bennett AE, Rienstra CM, Auger M, Lakshmi KV, Griffin RG (1995) J Chem Phys 103:6951CrossRef Bennett AE, Rienstra CM, Auger M, Lakshmi KV, Griffin RG (1995) J Chem Phys 103:6951CrossRef
14.
15.
Zurück zum Zitat Hedrick JL, Magbitang T, Connor EF, Glauser T, Volksen W, Hawker CJ, Lee VY, Miller RD (2002) Chem Eur J 8:3308CrossRef Hedrick JL, Magbitang T, Connor EF, Glauser T, Volksen W, Hawker CJ, Lee VY, Miller RD (2002) Chem Eur J 8:3308CrossRef
18.
Zurück zum Zitat Sing KSW, Everett DH, Haul RAW, Moscou L, Pierotti RA, Rouquerol J, Siemieniewska T (1985) Pure Appl Chem 57:603CrossRef Sing KSW, Everett DH, Haul RAW, Moscou L, Pierotti RA, Rouquerol J, Siemieniewska T (1985) Pure Appl Chem 57:603CrossRef
19.
Zurück zum Zitat Asefa T, MacLachlan MJ, Grondey H, Coombs N, Ozin GA (2000) Angew Chem Int Ed 39:1808CrossRef Asefa T, MacLachlan MJ, Grondey H, Coombs N, Ozin GA (2000) Angew Chem Int Ed 39:1808CrossRef
20.
22.
Zurück zum Zitat Abell T, Iacopi F, Prokopowicz G, Sun B, Mazurenko A, Travaly Y, Baklanov M, Jonas A, Sullivan C, Brongersma S, Liou H-C, Tower J, Gostein M, Gallagher M, Calvert J, Moinpour M, Maex K (2005) In: Proceedings of the advanced metal conference 2004, San Diego, CA, USA, October 19–21, and Tokyo, Japan, September 28–29, 2004, p 457 Abell T, Iacopi F, Prokopowicz G, Sun B, Mazurenko A, Travaly Y, Baklanov M, Jonas A, Sullivan C, Brongersma S, Liou H-C, Tower J, Gostein M, Gallagher M, Calvert J, Moinpour M, Maex K (2005) In: Proceedings of the advanced metal conference 2004, San Diego, CA, USA, October 19–21, and Tokyo, Japan, September 28–29, 2004, p 457
23.
Zurück zum Zitat Dubois G, Volksen W, Magbitang T, Miller RD, Gage DM, Dauskardt RH (2007) Adv Mater 19:3989CrossRef Dubois G, Volksen W, Magbitang T, Miller RD, Gage DM, Dauskardt RH (2007) Adv Mater 19:3989CrossRef
24.
Zurück zum Zitat Jain A, Rogojevic S, Ponoth S, Agarwal N, Matthew I, Gill WN, Persans P, Tomozawa M, Plawsky JL, Simonyi E (2001) Thin Solid Films 398–399:513CrossRef Jain A, Rogojevic S, Ponoth S, Agarwal N, Matthew I, Gill WN, Persans P, Tomozawa M, Plawsky JL, Simonyi E (2001) Thin Solid Films 398–399:513CrossRef
25.
Zurück zum Zitat Tajima N, Ohno T, Hamada T, Yoneda K, Kobayashi N, Hasaka S, Inoue M (2006) Appl Phys Lett 89:061907/1 Tajima N, Ohno T, Hamada T, Yoneda K, Kobayashi N, Hasaka S, Inoue M (2006) Appl Phys Lett 89:061907/1
26.
Zurück zum Zitat Ro HW, Char K, Jeon E-c, Kim H-J, Kwon D, Lee H-J, Lee J-K, Rhee H-W, Soles CL, Yoon DY (2007) Adv Mater 19:705CrossRef Ro HW, Char K, Jeon E-c, Kim H-J, Kwon D, Lee H-J, Lee J-K, Rhee H-W, Soles CL, Yoon DY (2007) Adv Mater 19:705CrossRef
27.
Zurück zum Zitat Char K, Cha BJ, Kim S (2004) In: Proceedings of the 7th IEEE international interconnect technology conference, Burlingame, CA, USA, p 219 Char K, Cha BJ, Kim S (2004) In: Proceedings of the 7th IEEE international interconnect technology conference, Burlingame, CA, USA, p 219
28.
Zurück zum Zitat SuPark E, Ro H-W, Sim JH, Yoon DY (2005) Polym Prepr (Am Chem Soc Div Polym Chem) 46:943 SuPark E, Ro H-W, Sim JH, Yoon DY (2005) Polym Prepr (Am Chem Soc Div Polym Chem) 46:943
29.
Zurück zum Zitat Hatton BD, Landskron K, Whitnall W, Perovic DD, Ozin GA (2005) Adv Funct Mater 15:823CrossRef Hatton BD, Landskron K, Whitnall W, Perovic DD, Ozin GA (2005) Adv Funct Mater 15:823CrossRef
30.
31.
Zurück zum Zitat Lu Y, Fan H, Doke N, Loy DA, Assink RA, LaVan DA, Brinker CJ (2000) J Am Chem Soc 122:5258CrossRef Lu Y, Fan H, Doke N, Loy DA, Assink RA, LaVan DA, Brinker CJ (2000) J Am Chem Soc 122:5258CrossRef
32.
33.
Zurück zum Zitat Grandbois M, Beyer M, Rief M, Clausen-Schaumann H, Gaub HE (1999) Science 283:1727CrossRef Grandbois M, Beyer M, Rief M, Clausen-Schaumann H, Gaub HE (1999) Science 283:1727CrossRef
34.
Zurück zum Zitat Kinloch AJ, Young RJ (1983) Molecular aspects. In: Fracture behaviour of polymers. Applied Science Publishers, London and New York, p 43 Kinloch AJ, Young RJ (1983) Molecular aspects. In: Fracture behaviour of polymers. Applied Science Publishers, London and New York, p 43
35.
Zurück zum Zitat Gumbsch P, Cannon RM (2000) MRS Bull 25:15 Gumbsch P, Cannon RM (2000) MRS Bull 25:15
Metadaten
Titel
Superior mechanical properties of dense and porous organic/inorganic hybrid thin films
verfasst von
Geraud Dubois
Willi Volksen
Teddie Magbitang
Mark H. Sherwood
Robert D. Miller
David M. Gage
Reinhold H. Dauskardt
Publikationsdatum
01.11.2008
Verlag
Springer US
Erschienen in
Journal of Sol-Gel Science and Technology / Ausgabe 1-2/2008
Print ISSN: 0928-0707
Elektronische ISSN: 1573-4846
DOI
https://doi.org/10.1007/s10971-008-1776-2

Weitere Artikel der Ausgabe 1-2/2008

Journal of Sol-Gel Science and Technology 1-2/2008 Zur Ausgabe

    Marktübersichten

    Die im Laufe eines Jahres in der „adhäsion“ veröffentlichten Marktübersichten helfen Anwendern verschiedenster Branchen, sich einen gezielten Überblick über Lieferantenangebote zu verschaffen.