Skip to main content

2020 | OriginalPaper | Buchkapitel

74. Test Data Compression Methods: A Review

Aktivieren Sie unsere intelligente Suche, um passende Fachinhalte oder Patente zu finden.

search-config
loading …

Abstract

Integrated circuit (IC) applications have become viable, reliable, and cheaper with deep-submicron technologies in VLSI industry. SoC (system on chip) is a microchip, which holds the necessary hardware and software to implement various functions onto a single chip. An IC should be tested during design process to check the correctness of the design and also to check the functionality of the design after fabrication. Testing cost shares almost half of the manufacturing expenditure. Achieving high-test quality in reduced VLSI geometry increases the complexity of the testing methods because of huge volume of test data. Automatic testing equipment (ATE) reduces testing efforts, but it has limited memory in comparison with the huge volume of the test data. One of the methods to reduce the burden of ATE is test data compression. The advantages of test data compression are as follows: (i) reduction in memory requirement for ATE and (ii) reduction in testing time. Though many advanced algorithms are used for testing of VLSI circuits, most of them are expensive in terms of test data volume and power. This survey discusses all the test data compression methods, and it helps the researchers in testing domain.

Sie haben noch keine Lizenz? Dann Informieren Sie sich jetzt über unsere Produkte:

Springer Professional "Wirtschaft+Technik"

Online-Abonnement

Mit Springer Professional "Wirtschaft+Technik" erhalten Sie Zugriff auf:

  • über 102.000 Bücher
  • über 537 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Finance + Banking
  • Management + Führung
  • Marketing + Vertrieb
  • Maschinenbau + Werkstoffe
  • Versicherung + Risiko

Jetzt Wissensvorsprung sichern!

Springer Professional "Technik"

Online-Abonnement

Mit Springer Professional "Technik" erhalten Sie Zugriff auf:

  • über 67.000 Bücher
  • über 390 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Maschinenbau + Werkstoffe




 

Jetzt Wissensvorsprung sichern!

Springer Professional "Wirtschaft"

Online-Abonnement

Mit Springer Professional "Wirtschaft" erhalten Sie Zugriff auf:

  • über 67.000 Bücher
  • über 340 Zeitschriften

aus folgenden Fachgebieten:

  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Finance + Banking
  • Management + Führung
  • Marketing + Vertrieb
  • Versicherung + Risiko




Jetzt Wissensvorsprung sichern!

Literatur
1.
Zurück zum Zitat Touba NA (2006) Survey of test vector compression techniques. IEEE Des Test Comput 23(4):294–303CrossRef Touba NA (2006) Survey of test vector compression techniques. IEEE Des Test Comput 23(4):294–303CrossRef
2.
Zurück zum Zitat Rajski J (2008) Logic diagnosis, yield larning & quality of test. In: Proceedings of the IEEE international symposium on VLSI design, automation and test, Taiwan, pp 3–3 Rajski J (2008) Logic diagnosis, yield larning & quality of test. In: Proceedings of the IEEE international symposium on VLSI design, automation and test, Taiwan, pp 3–3
3.
Zurück zum Zitat Varma P, Bathia S (1998) A structured test re-use methodology for core-based system chips. In: Proceedings of the international test conference, Washington, DC, pp 294–302 Varma P, Bathia S (1998) A structured test re-use methodology for core-based system chips. In: Proceedings of the international test conference, Washington, DC, pp 294–302
4.
Zurück zum Zitat Balakrishnan KJ, Touba NA (2006) Improving linear test data compression. IEEE Trans Very Large Scale Integr Syst 14(11):1227–1237CrossRef Balakrishnan KJ, Touba NA (2006) Improving linear test data compression. IEEE Trans Very Large Scale Integr Syst 14(11):1227–1237CrossRef
5.
Zurück zum Zitat Laung-Terng W, Cheng-Wen W, Xiaoqing W (2007) Review of VLSI test principles and architecture. IEEE Des Test Comput 24(2):198–199CrossRef Laung-Terng W, Cheng-Wen W, Xiaoqing W (2007) Review of VLSI test principles and architecture. IEEE Des Test Comput 24(2):198–199CrossRef
6.
Zurück zum Zitat Mitra S, Kim K (2006) XPAND: an efficient test stimulus compression technique. IEEE Trans Comput 55(2):163–173CrossRef Mitra S, Kim K (2006) XPAND: an efficient test stimulus compression technique. IEEE Trans Comput 55(2):163–173CrossRef
7.
Zurück zum Zitat Lee KJ, Chen JJ, Huang CH (1998) Using a single input to support multiple scan chains. In: Proceedings of the international conference on computer-aided design, San Jose, pp 74–78 Lee KJ, Chen JJ, Huang CH (1998) Using a single input to support multiple scan chains. In: Proceedings of the international conference on computer-aided design, San Jose, pp 74–78
8.
Zurück zum Zitat Mrugalski G, Tyszer J, Rajski J (2004) Ring generators: new devices for embedded test applications. IEEE Trans Comput Aided Des Integr Circuits Syst 23(9):1306–1320CrossRef Mrugalski G, Tyszer J, Rajski J (2004) Ring generators: new devices for embedded test applications. IEEE Trans Comput Aided Des Integr Circuits Syst 23(9):1306–1320CrossRef
9.
Zurück zum Zitat Krishna CV, Touba NA (2003) Adjustable width linear combinational scan vector decompression. In: Proceedings of the IEEE international conference on computer-aided design, San Jose, pp 863–866 Krishna CV, Touba NA (2003) Adjustable width linear combinational scan vector decompression. In: Proceedings of the IEEE international conference on computer-aided design, San Jose, pp 863–866
10.
Zurück zum Zitat Chandra A, Chakrabarty K (2003) Test data compression and test resource partitioning for system-on-a-Chip using frequency-directed run-length (FDR) codes. IEEE Trans Comput 52(8):1076–1088CrossRef Chandra A, Chakrabarty K (2003) Test data compression and test resource partitioning for system-on-a-Chip using frequency-directed run-length (FDR) codes. IEEE Trans Comput 52(8):1076–1088CrossRef
11.
Zurück zum Zitat Krishna CV, Touba NA (2003) Hybrid BIST using an incrementally guided LFSR. In: Proceedings of the 18th IEEE symposium on defect and fault tolerance in VLSI systems, Boston, pp 217–224 Krishna CV, Touba NA (2003) Hybrid BIST using an incrementally guided LFSR. In: Proceedings of the 18th IEEE symposium on defect and fault tolerance in VLSI systems, Boston, pp 217–224
12.
Zurück zum Zitat Volkerink EH, Mitra S (2003) Efficient seed utilization for reseeding based compression. In: Proceedings of the VLSI test symposium, California, pp 232–237 Volkerink EH, Mitra S (2003) Efficient seed utilization for reseeding based compression. In: Proceedings of the VLSI test symposium, California, pp 232–237
13.
Zurück zum Zitat Krishna CV, Touba NA (2002) Reducing test data volume using LFSR reseeding with seed compression. In: Proceedings of the international test conference, Baltimore, pp 321–330 Krishna CV, Touba NA (2002) Reducing test data volume using LFSR reseeding with seed compression. In: Proceedings of the international test conference, Baltimore, pp 321–330
14.
Zurück zum Zitat Sun X, Kinney L (2004) Combining dictionary coding and LFSR reseeding for test data compression. In: Proceedings of the 41st IEEE design automation conference, San Diego, pp 944–947 Sun X, Kinney L (2004) Combining dictionary coding and LFSR reseeding for test data compression. In: Proceedings of the 41st IEEE design automation conference, San Diego, pp 944–947
15.
Zurück zum Zitat Li L, Chakrabarty K, Kajihara S, Swaminathan S (2005) Efficient space/time compression to reduce test data volume and testing time for IP cores. In: Proceedings of the 18th international conference on VLSI design, Kolkatt, pp 53–58 Li L, Chakrabarty K, Kajihara S, Swaminathan S (2005) Efficient space/time compression to reduce test data volume and testing time for IP cores. In: Proceedings of the 18th international conference on VLSI design, Kolkatt, pp 53–58
16.
Zurück zum Zitat Usha Mehta S, Kankar Dasupta S, Niranjan M (2010) Devashrayee: hamming distance based reordering and column-wise bit stuffing with difference vector: a better scheme for test data compression with run length based codes. In: Proceedings of the 23rd international conference on VLSI design, Bangalore, pp 33–38 Usha Mehta S, Kankar Dasupta S, Niranjan M (2010) Devashrayee: hamming distance based reordering and column-wise bit stuffing with difference vector: a better scheme for test data compression with run length based codes. In: Proceedings of the 23rd international conference on VLSI design, Bangalore, pp 33–38
17.
Zurück zum Zitat Chandan G, Santanu C (2006) Power optimized dictionary coding for test data compression. In: Proceedings of the IEEE international conference on industrial technology, Mumbai, pp 2541–2545 Chandan G, Santanu C (2006) Power optimized dictionary coding for test data compression. In: Proceedings of the IEEE international conference on industrial technology, Mumbai, pp 2541–2545
18.
Zurück zum Zitat Li L, Chakrabarty K, Touba NA (2003) Test data compression using dictionaries with selective entries and fixed-length indices. ACM Trans Des Autom Electron Syst 8(4):470–490CrossRef Li L, Chakrabarty K, Touba NA (2003) Test data compression using dictionaries with selective entries and fixed-length indices. ACM Trans Des Autom Electron Syst 8(4):470–490CrossRef
19.
Zurück zum Zitat Huffman DA (1952) A method for the construction of minimum redundancy codes. Proc Inst Radio Eng J 40(9):1098–1101MATH Huffman DA (1952) A method for the construction of minimum redundancy codes. Proc Inst Radio Eng J 40(9):1098–1101MATH
20.
Zurück zum Zitat Jas A, Dastidar JG, Mom-Eng J, Touba NA (2003) An efficient test vector compression scheme using selective Huffman coding. IEEE Trans Comput Aided Des Integr Circuits Syst 22(6):797–806CrossRef Jas A, Dastidar JG, Mom-Eng J, Touba NA (2003) An efficient test vector compression scheme using selective Huffman coding. IEEE Trans Comput Aided Des Integr Circuits Syst 22(6):797–806CrossRef
21.
Zurück zum Zitat El-Maleh AH (2008) Efficient test compression technique based on block merging. IET Comput Digit Tech 2(5):327–335CrossRef El-Maleh AH (2008) Efficient test compression technique based on block merging. IET Comput Digit Tech 2(5):327–335CrossRef
22.
Zurück zum Zitat Gonciari PT, Al-Hashimi B, Nicolici N (2003) Variable-length input Huffman coding for system-on-a-chip test. IEEE Trans Comput Aided Des Integr Circuits Syst 22(6):783–796CrossRef Gonciari PT, Al-Hashimi B, Nicolici N (2003) Variable-length input Huffman coding for system-on-a-chip test. IEEE Trans Comput Aided Des Integr Circuits Syst 22(6):783–796CrossRef
23.
Zurück zum Zitat Wenfa Z (2007) Test data compression scheme based on variable-to-fixed-plus-variable-length coding. J Syst Archit 53(11):877–887CrossRef Wenfa Z (2007) Test data compression scheme based on variable-to-fixed-plus-variable-length coding. J Syst Archit 53(11):877–887CrossRef
24.
Zurück zum Zitat Lee K-J, Chen JJ, Huang CH (1999) Broadcasting test patterns to multiple circuits. IEEE Trans Comput Aided Des 18(12):1793–1802CrossRef Lee K-J, Chen JJ, Huang CH (1999) Broadcasting test patterns to multiple circuits. IEEE Trans Comput Aided Des 18(12):1793–1802CrossRef
25.
Zurück zum Zitat Shah MA, Patel JH (2004) Enhancement of the Illinois scan architecture for use with multiple scan inputs. In: Proceedings of the annual symposium on VLSI, Lafayette, pp 167–172 Shah MA, Patel JH (2004) Enhancement of the Illinois scan architecture for use with multiple scan inputs. In: Proceedings of the annual symposium on VLSI, Lafayette, pp 167–172
26.
Zurück zum Zitat Samaranayake S, Gizdarski E, Sitchinava N, Neuveux F, Kapur R, Williams TW (2003) A reconfigurable shared scan-in architecture. In: Proceedings of the 21st IEEE VLSI test symposium, Napa Valley, pp 9–14 Samaranayake S, Gizdarski E, Sitchinava N, Neuveux F, Kapur R, Williams TW (2003) A reconfigurable shared scan-in architecture. In: Proceedings of the 21st IEEE VLSI test symposium, Napa Valley, pp 9–14
27.
Zurück zum Zitat Li L, Chakrabarty K (2004) Test set embedding for deterministic BIST using a reconfigurable interconnection network. Trans Comput Aided Des Integr Circuits Syst 23:1289–1305CrossRef Li L, Chakrabarty K (2004) Test set embedding for deterministic BIST using a reconfigurable interconnection network. Trans Comput Aided Des Integr Circuits Syst 23:1289–1305CrossRef
28.
Zurück zum Zitat Wang S, Gupta SK (2002) DS-LFSR: a BIST TPG for low switching activity. Trans Comput Aided Des Integr Circuits Syst 21(7):842–851CrossRef Wang S, Gupta SK (2002) DS-LFSR: a BIST TPG for low switching activity. Trans Comput Aided Des Integr Circuits Syst 21(7):842–851CrossRef
29.
Zurück zum Zitat Rajski J, Tyszer J, Kassab M, Mukherjee N (2004) Embedded deterministic test. IEEE Trans Comput Aided Des Integr Circuits Syst 23(5):776–792CrossRef Rajski J, Tyszer J, Kassab M, Mukherjee N (2004) Embedded deterministic test. IEEE Trans Comput Aided Des Integr Circuits Syst 23(5):776–792CrossRef
Metadaten
Titel
Test Data Compression Methods: A Review
verfasst von
S. Rooban
R. Manimegalai
Copyright-Jahr
2020
DOI
https://doi.org/10.1007/978-3-030-24051-6_74