Skip to main content

2010 | Buch

Three Dimensional Integrated Circuit Design

EDA, Design and Microarchitectures

herausgegeben von: Yuan Xie, Jason Cong, Sachin Sapatnekar

Verlag: Springer US

Buchreihe : Integrated Circuits and Systems

insite
SUCHEN

Über dieses Buch

We live in a time of great change. In the electronics world, the last several decades have seen unprecedented growth and advancement, described by Moore’s law. This observation stated that transistor density in integrated circuits doubles every 1. 5–2 years. This came with the simultaneous improvement of individual device perf- mance as well as the reduction of device power such that the total power of the resulting ICs remained under control. No trend remains constant forever, and this is unfortunately the case with Moore’s law. The trouble began a number of years ago when CMOS devices were no longer able to proceed along the classical scaling trends. Key device parameters such as gate oxide thickness were simply no longer able to scale. As a result, device o- state currents began to creep up at an alarming rate. These continuing problems with classical scaling have led to a leveling off of IC clock speeds to the range of several GHz. Of course, chips can be clocked higher but the thermal issues become unmanageable. This has led to the recent trend toward microprocessors with mul- ple cores, each running at a few GHz at the most. The goal is to continue improving performance via parallelism by adding more and more cores instead of increasing speed. The challenge here is to ensure that general purpose codes can be ef?ciently parallelized. There is another potential solution to the problem of how to improve CMOS technology performance: three-dimensional integrated circuits (3D ICs).

Inhaltsverzeichnis

Frontmatter
Chapter 1. Introduction
Abstract
Much as the development of steel girders suddenly freed skyscrapers to reach beyond the 12-story limit of masonry buildings 6, achievements in four key processes have allowed the concept of 3D integrated circuits 2, proposed more than 20 years ago by visionaries (such as Jim Meindl in the United States and Mitsumasa Koyanagi in Japan), to actually begin to become realized. These factors are (1) low-temperature bonding, (2) layer-to-layer transfer and alignment, (3) electrical connectivity between layers, and (4) an effective release process. These are the cranes which will assemble our new electronic skyscrapers. As these emerged, the contemporary motivation to create such an unusual electronic structure remained unresolved. That argument finally appeared in a casual magazine article that certainly was not immediately recognized for the prescience it offered 5.
Kerry Bernstein
Chapter 2. 3D Process Technology Considerations
Abstract
Both form-factor and performance-scaling trends are driving the need for 3D integration, which is now seeing rapid commercialization. While overall process integration schemes are not yet standardized across the industry, it is now important for 3D circuit designers to understand the process trends and tradeoffs that underlie 3D technology. In this chapter, we outline the basic process considerations that designers need to be aware of: strata orientation, inter-strata alignment, bonding-interface design, TSV dimensions, and integration with CMOS processing. These considerations all have direct implications on design and will be important in both the selection of 3D processes and the optimization of circuits within a given 3D process.
Albert M. Young, Steven J. Koester
Chapter 3. Thermal and Power Delivery Challenges in 3D ICs
Abstract
Compared to their 2D counterparts, 3D integrated circuits provide the potential for tremendously increased levels of integration per unit footprint. While this property is attractive for many applications, it also creates more stringent design bottlenecks in the areas of thermal management and power delivery. First, due to increased integration, the amount of heat per unit footprint increases, resulting in the potential for higher on-chip temperatures. The task of thermal management must necessarily be shared both by the heat sink, which transfers internally generated heat to the ambient, and by using thermally conscious design methods. Second, the power to be delivered to a 3D chip, per package pin, is tremendously increased, leading to significant complications in the task of reliable power delivery. This chapter presents an overview of both of these problems and outlines solution schemes to overcome the corresponding bottlenecks.
Pulkit Jain, Pingqiang Zhou, Chris H. Kim, Sachin S. Sapatnekar
Chapter 4. Thermal-Aware 3D Floorplan
Abstract
Three-dimensional integration makes floorplanning a much more difficult problem because the multiple device layers dramatically enlarge the solution space and the increased power density accentuates the thermal problem. This chapter introduces the algorithms for 3D floorplanning with both 2D blocks and 3D blocks. In addition to stochastic optimizations based on various representations that are briefly introduced, the analytical approach is also introduced. The effects of various 3D floorplanning techniques on wirelength, area, and temperature are demonstrated by experimental results.
Jason Cong, Yuchun Ma
Chapter 5. Thermal-Aware 3D Placement
Abstract
Three-dimensional IC technology enables an additional dimension of freedom for circuit design. Challenges arise for placement tools to handle the through-silicon via (TS via) resource and the thermal problem, in addition to the optimization of device layer assignment of cells for better wirelength. This chapter introduces several 3D global placement techniques to address these issues, including partitioning-based techniques, quadratic uniformity modeling techniques, multilevel placement techniques, and transformation-based techniques. The legalization and detailed placement problems for 3D IC designs are also briefly introduced. The effects of various 3D placement techniques on wirelength, TS via number, and temperature, and the impact of 3D IC technology to wirelength and repeater usage are demonstrated by experimental results.
Jason Cong, Guojie Luo
Chapter 6. Thermal Via Insertion and Thermally Aware Routing in 3D ICs
Abstract
Thermal challenges in 3D chips motivate the need for on-chip thermal conduction networks to deliver the heat to the heat sink. The most prominent example is a passive network of thermal vias, which serves the function of heat conduction without necessarily serving any electrical function. This chapter begins with an overview of techniques for thermal via insertion. Next, it addresses the problem of 3D routing, overcoming challenges as conventional 2D routing is stretched to a third dimension and as electrical routes must vie with thermal vias for scarce on-chip routing resources, particularly intertier vias.
Sachin S. Sapatnekar
Chapter 7. Three-Dimensional Microprocessor Design
Abstract
Three-dimensional integration provides many new exciting opportunities for computer architects. There are many potential ways to apply 3D technology to the design and implementation of microprocessors. In this chapter, we discuss a range of approaches from simple rearrangements of traditional 2D components all the way down to very fine-grained partitioning of individual processor functional unit blocks across multiple layers. This chapter also discusses different techniques and trade-offs for situations where die-to-die communication resources are constrained and what the computer architect can do to alter a design deal with this. Three dimensional integration provides many ways to reduce or eliminate wires within the microprocessor, and this chapter also discusses high-level design styles for converting the wire reduction into performance or power benefits.
Gabriel H. Loh
Chapter 8. Three-Dimensional Network-on-Chip Architecture
Abstract
On-chip interconnects are predicted to be a fundamental issue in designing multi-core chip multiprocessors (CMPs) and system-on-chip (SoC) architectures with numerous homogeneous and heterogeneous cores and functional blocks. To mitigate the interconnect crisis, one promising option is network-on-chip (NoC), where a general purpose on-chip interconnection network replaces the traditional design-specific global on-chip wiring by using switching fabrics or routers to connect IP cores or processing elements. Such packet-based communication networks have been gaining wide acceptance due to their scalability and have been proposed for future CMPs and SoC design. In this chapter, we study the combination of both three-dimensional integrated circuits and NoCs, since both are proposed as solutions to mitigate the interconnect scaling challenges. This chapter will start with a brief introduction on network-on-chip architecture and then discuss design space exploration for various network topologies in 3D NoC design, as well as different techniques on 3D on-chip router design. Finally, it describes a design example of using 3D NoC with memory stacked on multi-core CMPs.
Yuan Xie, Narayanan Vijaykrishnan, Chita Das
Chapter 9. PicoServer: Using 3D Stacking Technology to Build Energy Efficient Servers
Abstract
With power and cooling increasingly contributing to the operating costs of a datacenter, energy efficiency is the key driver in server design. One way to improve energy efficiency is to implement innovative interconnect technologies such as 3D stacking. Three-dimensional stacking technology introduces new opportunities for future servers to become low power, compact, and possibly mobile. This chapter introduces an architecture called Picoserver that employs 3D technology to bond one die containing several simple slow processing cores with multiple memory dies sufficient for a primary memory. The multiple memory dies are composed of DRAM. This use of 3D stacks readily facilitates wide low-latency buses between processors and memory. These remove the need for an L2 cache allowing its area to be re-allocated to additional simple cores. The additional cores allow the clock frequency to be lowered without impairing throughput. Lower clock frequency means that thermal constraints, a concern with 3D stacking, are easily satisfied. PicoServer is intentionally simple, requiring only the simplest form of 3D technology where die are stacked on top of one another. Our intent is to minimize risk of introducing a new technology (3D) to implement a class of low-cost, low-power, compact server architectures.
Taeho Kgil, David Roberts, Trevor Mudge
Chapter 10. System-Level 3D IC Cost Analysis and Design Exploration
Abstract
The majority of the existing 3D IC research has focused on how to take advantage of the performance, power, smaller form-factor, and heterogeneous integration benefits offered by 3D integration. However, all such advantages will ultimately have to be translatee into cost savings when a design strategy has to be decided. Consequently, system-level cost analysis at the early design stage is imperative to help the decision making on whether 3D integration should be adopted. In this chapter, we discuss the design estimation method for 3D ICs at the early design stage. We also describe a cost analysis model to study the cost implication for 3D ICs and address cost-related problems for 3D IC design.
Xiangyu Dong, Yuan Xie
Backmatter
Metadaten
Titel
Three Dimensional Integrated Circuit Design
herausgegeben von
Yuan Xie
Jason Cong
Sachin Sapatnekar
Copyright-Jahr
2010
Verlag
Springer US
Electronic ISBN
978-1-4419-0784-4
Print ISBN
978-1-4419-0783-7
DOI
https://doi.org/10.1007/978-1-4419-0784-4

Neuer Inhalt