Skip to main content

2013 | OriginalPaper | Buchkapitel

6. 3D Clock Routing for Pre-bond Testability

verfasst von : Sung Kyu Lim

Erschienen in: Design for High Performance, Low Power, and Reliable 3D Integrated Circuits

Verlag: Springer New York

Aktivieren Sie unsere intelligente Suche, um passende Fachinhalte oder Patente zu finden.

search-config
loading …

Abstract

Pre-bond testing of 3D stacked ICs involves testing each individual die before bonding. The overall yield of 3D ICs improves with pre-bond testability because manufacturers can avoid stacking defective dies with good ones. However, pre-bond testability presents unique challenges to 3D clock tree design. First, each die needs a complete 2D clock tree to enable pre-bond test. Second, the entire 3D stack needs a complete 3D clock tree for post-bond test and operation. In the case of a two-die stack, a straightforward solution is to have two complete 2D clock trees connected with a single through-silicon-via (TSV). In this chapter, we show that this solution suffers from long wirelength and high clock power consumption. Our algorithm improves on this solution, minimizes the overall wirelength and clock power consumption, and provides both pre-bond testability and post-bond operability with minimum skew and constrained slew. Compared with the single-TSV solution, SPICE simulation results show that our multi-TSV approach significantly reduces the clock power by up to 15.9 % for two-die and 29.7 % for four-die stacks. In addition, the wirelength is reduced by up to 24.4 and 42.0 %.
The materials presented in this chapter are based on [27].

Sie haben noch keine Lizenz? Dann Informieren Sie sich jetzt über unsere Produkte:

Springer Professional "Wirtschaft+Technik"

Online-Abonnement

Mit Springer Professional "Wirtschaft+Technik" erhalten Sie Zugriff auf:

  • über 102.000 Bücher
  • über 537 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Finance + Banking
  • Management + Führung
  • Marketing + Vertrieb
  • Maschinenbau + Werkstoffe
  • Versicherung + Risiko

Jetzt Wissensvorsprung sichern!

Springer Professional "Technik"

Online-Abonnement

Mit Springer Professional "Technik" erhalten Sie Zugriff auf:

  • über 67.000 Bücher
  • über 390 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Maschinenbau + Werkstoffe




 

Jetzt Wissensvorsprung sichern!

Fußnoten
1
In the pre-bond testable clock routing, our algorithm generates zero-skew clock trees based on the Elmore delay model [5]. To obtain accurate clock-related metrics, we then extract the netlist, and report the SPICE simulation results, including delay, skew, slew, and power consumption.
 
2
Note that our clock trees with single and multiple TSVs are simulated under the same Vdd, and the power savings mainly come from the capacitance reduction. Therefore, the efficiency of our algorithm in low power and pre-bond testability apply on different Vdd (e.g., from 1.2 to 1.0 V).
 
Literatur
1.
Zurück zum Zitat C. Albrecht, A.B. Kahng, B. Liu, I.I. Mandoiu, A.Z. Zelikovsky, On the skew-bounded minimum-buffer routing tree problem. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 22(7), 937–945 (2003)CrossRef C. Albrecht, A.B. Kahng, B. Liu, I.I. Mandoiu, A.Z. Zelikovsky, On the skew-bounded minimum-buffer routing tree problem. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 22(7), 937–945 (2003)CrossRef
2.
Zurück zum Zitat C.J. Alpert, A.B. Kahng, B. Liu, I.I. Mandoiu, A.Z. Zelikovsky, Minimum buffered routing with bounded capacitive load for slew rate and reliability control. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 22(3), 241–253 (2003)CrossRef C.J. Alpert, A.B. Kahng, B. Liu, I.I. Mandoiu, A.Z. Zelikovsky, Minimum buffered routing with bounded capacitive load for slew rate and reliability control. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 22(3), 241–253 (2003)CrossRef
3.
Zurück zum Zitat V. Arunachalam, W. Burleson, Low-power clock distribution in a multilayer core 3D microprocessor, in Proceedings of Great Lakes Symposum on VLSI (ACM, New York, 2008), pp. 429–434 V. Arunachalam, W. Burleson, Low-power clock distribution in a multilayer core 3D microprocessor, in Proceedings of Great Lakes Symposum on VLSI (ACM, New York, 2008), pp. 429–434
4.
Zurück zum Zitat K.D. Boese, A.B. Kahng, Zero-skew clock routing trees with minimum wirelength, in Proceedings of Fifth Annual IEEE International ASIC Conference and Exhibit, 1992 (IEEE, Piscataway/New York, 1992), pp. 17–21 K.D. Boese, A.B. Kahng, Zero-skew clock routing trees with minimum wirelength, in Proceedings of Fifth Annual IEEE International ASIC Conference and Exhibit, 1992 (IEEE, Piscataway/New York, 1992), pp. 17–21
5.
Zurück zum Zitat W.C. Elmore, The transient analysis of damped linear networks with particular regard to wideband amplifiers. J. Appl. Phys. 19(1), 55–63 (1948)CrossRef W.C. Elmore, The transient analysis of damped linear networks with particular regard to wideband amplifiers. J. Appl. Phys. 19(1), 55–63 (1948)CrossRef
7.
Zurück zum Zitat S. Hu, C.J. Alpert, J. Hu, S.K. Karandikar, Z. Li, W. Shi, C.N. Sze, Fast algorithms for slew-constrained minimum cost buffering. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 26(11), 2009–2022 (2007)CrossRef S. Hu, C.J. Alpert, J. Hu, S.K. Karandikar, Z. Li, W. Shi, C.N. Sze, Fast algorithms for slew-constrained minimum cost buffering. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 26(11), 2009–2022 (2007)CrossRef
9.
Zurück zum Zitat L. Jiang, L. Huang, Q. Xu, Test architecture design and optimization for three-dimensional SoCs, in Proceedings of Design, Automation and Test in Europe, (IEEE, Los Alamitos/California, 2009), European Design and Automation Association, Belgium, pp. 220–225 L. Jiang, L. Huang, Q. Xu, Test architecture design and optimization for three-dimensional SoCs, in Proceedings of Design, Automation and Test in Europe, (IEEE, Los Alamitos/California, 2009), European Design and Automation Association, Belgium, pp. 220–225
10.
Zurück zum Zitat L. Jiang, Q. Xu, K. Chakrabarty, T.M. Mak, Layout-driven test-architecture design and optimization for 3D SoCs under pre-bond test-pin-count constraint, in Proceedings of IEEE International Conference on Computer-Aided Design (ACM, New York, 2009), pp. 191–196 L. Jiang, Q. Xu, K. Chakrabarty, T.M. Mak, Layout-driven test-architecture design and optimization for 3D SoCs under pre-bond test-pin-count constraint, in Proceedings of IEEE International Conference on Computer-Aided Design (ACM, New York, 2009), pp. 191–196
11.
Zurück zum Zitat T.-Y. Kim, T. Kim, Clock tree embedding for 3D ICs, in Proceedings of Asia and South Pacific Design Automation Conference (IEEE, Piscataway, 2010), pp. 486–491 T.-Y. Kim, T. Kim, Clock tree embedding for 3D ICs, in Proceedings of Asia and South Pacific Design Automation Conference (IEEE, Piscataway, 2010), pp. 486–491
12.
Zurück zum Zitat H.-H.S. Lee, K. Chakrabarty, Test challenges for 3D integrated circuits. IEEE Des. Test Comput. 26(5), 26–35 (2009)CrossRef H.-H.S. Lee, K. Chakrabarty, Test challenges for 3D integrated circuits. IEEE Des. Test Comput. 26(5), 26–35 (2009)CrossRef
13.
Zurück zum Zitat D.L. Lewis, H.-H.S. Lee, A scan-Island based design enabling pre-bond testbility in die-stacked microprocessors, in IEEE International Test Conference (IEEE, New York; International Test Conference, Washington, DC, 2007), pp. 1–8 D.L. Lewis, H.-H.S. Lee, A scan-Island based design enabling pre-bond testbility in die-stacked microprocessors, in IEEE International Test Conference (IEEE, New York; International Test Conference, Washington, DC, 2007), pp. 1–8
14.
Zurück zum Zitat D.L. Lewis, H.-H.S. Lee, Testing circuit-partitioned 3D IC designs, in Proceedings of International Symposium on VLSI (IEEE, Piscataway, 2009), pp. 139–144 D.L. Lewis, H.-H.S. Lee, Testing circuit-partitioned 3D IC designs, in Proceedings of International Symposium on VLSI (IEEE, Piscataway, 2009), pp. 139–144
15.
Zurück zum Zitat E.J. Marinissen, Y. Zorian, Testing 3D chips containing through-silicon vias, in IEEE International Test Conference (International Test Conference, Washington, DC, 2009), pp. 1–11 E.J. Marinissen, Y. Zorian, Testing 3D chips containing through-silicon vias, in IEEE International Test Conference (International Test Conference, Washington, DC, 2009), pp. 1–11
16.
Zurück zum Zitat J. Minz, X. Zhao, S.K. Lim, Buffered clock tree synthesis for 3D ICs under thermal variations, in Proceedings of Asia and South Pacific Design Automation Conference (IEEE, Piscataway, 2008), pp. 504–509 J. Minz, X. Zhao, S.K. Lim, Buffered clock tree synthesis for 3D ICs under thermal variations, in Proceedings of Asia and South Pacific Design Automation Conference (IEEE, Piscataway, 2008), pp. 504–509
17.
Zurück zum Zitat B. Noia, K. Chakrabarty, Y. Xie, Test-wrapper optimization for embedded cores in TSV-based three-dimensional SOCs, in Proceedings of IEEE International Conference on Computer Design (IEEE, Piscataway, 2009), pp. 70–77 B. Noia, K. Chakrabarty, Y. Xie, Test-wrapper optimization for embedded cores in TSV-based three-dimensional SOCs, in Proceedings of IEEE International Conference on Computer Design (IEEE, Piscataway, 2009), pp. 70–77
18.
Zurück zum Zitat V.F. Pavlidis, I. Savidis, E.G. Friedman, Clock distribution networks for 3-D integrated circuits, in Proceedings of IEEE Custom Integrated Circuits Conference (IEEE, Piscataway, 2008), pp. 651–654 V.F. Pavlidis, I. Savidis, E.G. Friedman, Clock distribution networks for 3-D integrated circuits, in Proceedings of IEEE Custom Integrated Circuits Conference (IEEE, Piscataway, 2008), pp. 651–654
22.
Zurück zum Zitat G.E. Tellez, M. Sarrafzadeh, Minimal buffer insertion in clock trees with skew and slew rate constraints. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 16(4), 333–342 (1997)CrossRef G.E. Tellez, M. Sarrafzadeh, Minimal buffer insertion in clock trees with skew and slew rate constraints. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 16(4), 333–342 (1997)CrossRef
24.
Zurück zum Zitat X. Wu, P. Falkenstern, Y. Xie, Scan chain design for three-dimensional integrated circuits (3D ICs), in Proceedings of IEEE International Conference on Computer Design (IEEE, Los Alamitos/California/Piscataway, 2007), pp. 208–214, 2007 X. Wu, P. Falkenstern, Y. Xie, Scan chain design for three-dimensional integrated circuits (3D ICs), in Proceedings of IEEE International Conference on Computer Design (IEEE, Los Alamitos/California/Piscataway, 2007), pp. 208–214, 2007
25.
Zurück zum Zitat X. Wu, Y. Chen, K. Chakrabarty, Y. Xie, Test-access mechanism optimization for core-based three-dimensional SOCs, in Proceedings of IEEE International Conference on Computer Design (IEEE, Los Alamitos/California/Piscataway, 2008), pp. 212–218 X. Wu, Y. Chen, K. Chakrabarty, Y. Xie, Test-access mechanism optimization for core-based three-dimensional SOCs, in Proceedings of IEEE International Conference on Computer Design (IEEE, Los Alamitos/California/Piscataway, 2008), pp. 212–218
26.
Zurück zum Zitat X. Zhao, S.K. Lim, Power and slew-aware clock network design for through-silicon-via (TSV) based 3D ICs, in Proceedings of Asia and South Pacific Design Automation Conference (IEEE, Piscataway, 2010), pp. 175–180 X. Zhao, S.K. Lim, Power and slew-aware clock network design for through-silicon-via (TSV) based 3D ICs, in Proceedings of Asia and South Pacific Design Automation Conference (IEEE, Piscataway, 2010), pp. 175–180
27.
Zurück zum Zitat X. Zhao, D.L. Lewis, H.-H.S. Lee, S.K. Lim, Pre-bond testable Low-Power Clock Tree Design for Pre-Bond Testing of 3-D Stacked ICs, in Proceedings of IEEE International Conference on Computer-Aided Design of Integrated Circuits and Systems 30(5), 732–745, (ACM, New York, 2011) X. Zhao, D.L. Lewis, H.-H.S. Lee, S.K. Lim, Pre-bond testable Low-Power Clock Tree Design for Pre-Bond Testing of 3-D Stacked ICs, in Proceedings of IEEE International Conference on Computer-Aided Design of Integrated Circuits and Systems 30(5), 732–745, (ACM, New York, 2011)
Metadaten
Titel
3D Clock Routing for Pre-bond Testability
verfasst von
Sung Kyu Lim
Copyright-Jahr
2013
Verlag
Springer New York
DOI
https://doi.org/10.1007/978-1-4419-9542-1_6

Neuer Inhalt