Skip to main content

2011 | Buch

3D Integration for NoC-based SoC Architectures

herausgegeben von: Abbas Sheibanyrad, Frédéric Pétrot, Axel Jantsch

Verlag: Springer New York

Buchreihe : Integrated Circuits and Systems

insite
SUCHEN

Über dieses Buch

This book presents the research challenges that are due to the introduction of the 3rd dimension in chips for researchers and covers the whole architectural design approach for 3D-SoCs. Nowadays the 3D-Integration technologies, 3D-Design techniques, and 3D-Architectures are emerging as interesting, truly hot, broad topics. The present book gathers the recent advances in the whole domain by renowned experts in the field to build a comprehensive and consistent book around the hot topics of three-dimensional architectures and micro-architectures. This book includes contributions from high level international teams working in this field.

Inhaltsverzeichnis

Frontmatter

3DI Promises and Challenges

Frontmatter
Chapter 1. Three-Dimensional Integration of Integrated Circuits—an Introduction
Abstract
Three-dimensional (3D) stacking of ultra-thin integrated circuits (ICs) is identified as an inevitable solution for future system miniaturization and functional diversification. 3D integration offers a long list of benefits in terms of system form factor, density scaling and multiplication, reduced interconnection latency and power consumption, bandwidth enhancement, and heterogeneous integration of disparate technologies. In this 3D implementation, thinned IC layers are seamlessly bonded with a reliable bonding medium and vertically interconnected with electrical through strata via (TSV). The objective of this chapter is to discuss performance enhancement as well as new integration capabilities brought about by 3D technology, enabling technology platforms, and potential applications made possible by 3D technology.
Chuan Seng Tan
Chapter 2. The Promises and Limitations of 3-D Integration
Abstract
The intrinsic computational efficiency (ICE) of silicon defines the upper limit of the amount of computation within a given technology and power envelope. The effective computational efficiency (ECE) and the effective computational density (ECD) of silicon, by taking computation, memory and communication into account, offer a more realistic upper bound for computation of a given technology. Among other factors, they consider how distributed the memory is, how much area is occupied by computation, memory and interconnect, and the geometric properties of 3-D stacked technology with through silicon vias (TSV) as vertical links. We use ECE and ECD to study the limits of performance under different memory distribution constraints of various 2-D and 3-D topologies, in current and future technology nodes. Among other results, our model shows that in a 35 nm technology a 16 stack 3-D system can, as a theoretical upper limit, obtain 3.4 times the performance of a 2-D system (8.8 Tera OPS vs 2.6 TOPS) at 70% reduced frequency (2.1 vs 3.7 GHz) on 1/8 the total area (50 vs 400 mm2).
Axel Jantsch, Matthew Grange, Dinesh Pamunuwa

Technology and Circuit Design

Frontmatter
Chapter 3. Testing 3D Stacked ICs Containing Through-Silicon Vias
Abstract
To meet customer’s product-quality expectations, each individual IC needs to be tested for manufacturing defects incurred during its many high-precision, and hence defect-prone manufacturing steps; these tests should be both effective and cost-efficient. The semiconductor industry is preparing itself now for three-dimensional stacked ICs (3D-SICs) based on Through-Silicon Vias (TSVs), which, due to their many compelling benefits, are quickly gaining ground. Test solutions need to be ready for this new generation of ‘super chips’. 3D-SICs are chips where all basic, as well as most advanced test technologies come together. In addition, they pose some truly new test challenges with respect to complexity and cost, due to their advanced manufacturing processes and physical access limitations. This chapter focuses on the challenges of testing 3D-SICs, and describes for which challenges solutions are already available or emerging. It provides an overview of the manufacturing steps of TSV-based 3D-SICs, as far as relevant for testing. Subsequently, it discusses flows for wafer-level and package-level tests, the challenges with respect to test contents and wafer-level probe access, and the on-chip Design-for-Test (DfT) infrastructure required for 3D-SICs.
Erik Jan Marinissen
Chapter 4. Design and Computer Aided Design of 3DIC
Abstract
This chapter reviews the process of 3DIC designing exploiting Through Silicon Via (TSV) technology. The chapter introduces the notion of re-architecting systems explicitly to exploit high density TSV processes. A particular focus is on (redesigned) memory on top of logic. This article also serves as a tutorial for the design of 3D specific systems.
Paul D. Franzon, W. Rhett Davis, Thor Thorolfsson
Chapter 5. Physical Analysis of NoC Topologies for 3-D Integrated Systems
Abstract
The manufacturing of integrated systems in multiple physical planes provides new opportunities for on-chip interconnection networks. Topologies of networks on-chip that have been of limited use due to the long and, therefore, slower interconnects can now be efficiently implemented in a vertically integrated circuit. Three-dimensional meshes are, reasonably, the first to be explored due to the simplicity of these topologies. Considerable improvements in delay and power can result from these topologies. These improvements originate either from the shorter interconnects or the decrease in the number of switches that data packets traverse to reach the destination network node. To evaluate the benefits obtained by a 3-D mesh on-chip network, appropriate latency and power models are described in this chapter. The accurate evaluation of the performance of these networks should be augmented by temperature-aware models that incorporate the power consumed by the processing elements of the network in addition to the power of the network links and switches. A methodology that includes these models is employed to determine the interconnect architecture within the PEs in each physical plane of a 3-D on-chip network.
Vasilis F. Pavlidis, Eby G. Friedman
Chapter 6. Three-Dimensional Networks-on-Chip: Performance Evaluation
Abstract
The Network-on-Chip (NoC) paradigm has emerged as a revolutionary methodology for integrating a very high number of intellectual property (IP) blocks in a single die. The achievable performance benefit arising out of adopting NoCs is constrained by the performance limitation imposed by the metal wire, which is the physical realization of communication channels. With technology scaling, only depending on the material innovation will extend the lifetime of conventional interconnect systems a few technology generations. According to International Technology Roadmap for Semiconductors (ITRS) for the longer term, new interconnect paradigms are in need. The conventional two dimensional (2D) integrated circuit (IC) has limited floor-planning choices, and consequently it limits the performance enhancements arising out of NoC architectures. Three dimensional (3D) ICs are capable of achieving better performance, functionality, and packaging density compared to more traditional planar ICs. On the other hand, NoC is an enabling solution for integrating large numbers of embedded cores in a single die. 3D NoC architectures combine the benefits of these two new domains to offer an unprecedented performance gain. This chapter quantifies the performance of 3D NoC architectures. It demonstrates functionality in terms of throughput, latency, energy dissipation, and wiring area overhead. It also addresses the temperature concerns that are apparent in 3D integrated circuits in general as well as many emerging 2D applications, showing that the characteristics of 3D NoCs limit what would otherwise be a dramatic increase in temperature, and in a certain case, even reduce temperature.
Brett Stanley Feero, Partha Pratim Pande

System and Architecture Design

Frontmatter
Chapter 7. Asynchronous 3D-NoCs Making Use of Serialized Vertical Links
Abstract
The shrinking of processing technology in the deep submicron domain aggravates the imbalance between gate delays and wire delays. While a Network-on-Chip systematically tackles this physical issue by differentiating between local and global interconnects, 3D-Integration by folding the die into multiple layers and using short vertical links instead of long horizontal interconnects, leads to a considerable reduction in the length and the number of long global wires. This chapter elaborates on the strategic exploitation of these two key technologies, where the use of the third dimension in the design of the integrated networks provides a major improvement in the network performance. It makes a case for using asynchronous circuits to implement 3D-NoCs. We claim that asynchronous logic allows benefiting from serialized vertical link leading to the definition of innovative architectures which can address some critical issues of 3D integrated circuits using Through-Silicon-Vias. This allows complying with the cost-efficiency trade-off of the 3D-Integration paradigm.
Abbas Sheibanyrad, Frédéric Pétrot
Chapter 8. Design of Application-Specific 3D Networks-on-Chip Architectures
Abstract
The increasing viability of three dimensional (3D) silicon integration technology has opened new opportunities for chip design innovations, including the prospect of extending emerging Systems-on-Chip (SoC) design paradigms based on Networks-on-Chip (NoC) interconnection architectures to 3D chip designs. In this chapter, we consider the problem of designing application-specific 3D-NoC architectures that are optimized for a given application. Both unicast and multicast traffic flows are supported. We present novel 3D-NoC synthesis algorithms that make use of accurate power and delay models for 3D wiring with through-silicon vias. In particular, we present a very efficient 3D-NoC synthesis algorithm called Ripup-Reroute-and-Router-Merging (RRRM), that is based on a rip-up and reroute formulation for routing flows and a router merging procedure for network optimization. Experimental results on 3D-NoC design cases show that our synthesis results can on average achieve significant improvements over regular mesh-based 3D implementations, both in terms of power consumption as well as hop counts.
Shan Yan, Bill Lin
Chapter 9. 3D Network on Chip Topology Synthesis: Designing Custom Topologies for Chip Stacks
Abstract
Three-dimensional integrated circuits are a promising approach to push beyond the integration issues and IO bottlenecks of current Systems on Chips (SoCs). Designing the system interconnect for SoCs with many cores is already a challenge for conventional 2D ICs. The degree of freedom offered by the third dimension makes system interconnect design even more complicated and requires a scalable and predictable architecture for the interconnect, in order to achieve design closure. Networks on Chip (NoCs) was presented as a scalable and predictable architecture for system interconnect and therefore is a necessity for 3D integration. Designing an efficient NoC fabric that satisfies performance requirements, but also meets the constraints imposed by 3D technology, is a significant challenge. In this chapter, we move from an overview of communication requirements for current and future SoC platforms, and we analyze through-silicon-via (TSV) vertical interconnection technology, which is emerging as the most promising technology enabler for 3D integration. We then present methodologies and tools for automated 3D interconnect design, focusing on application-specific NoC synthesis for 3D ICs. 3D-NoC synthesis consists of finding the best NoC topology for the application, computing paths for the communication flows, assigning network components on to the layers of the 3D stack, and placing them in each layer. Experiments, performed on several SoC benchmarks demonstrate that 3D-NoCs with application specific tuning bring significant advantages in communication efficiency, power and delay.
Ciprian Seiculescu, Srinivasan Murali, Luca Benini, Giovanni De Micheli
Chapter 10. 3-D NoC on Inductive Wireless Interconnect
Abstract
In this chapter, we focus on 3-D Network-on-Chip (NoC) architecture that uses a wireless inductive coupling for vertical interconnection. Because chips are wirelessly connected, the addition, removal, and swapping of known-good-dies in a package are possible after fabrication. We introduce a 3-D NoC architecture that can exploit this flexibility. Then, we introduce a 3-D dynamically reconfigurable processors called MuCCRA-Cube, as an implementation example of the wireless 3-D architecture.
Hiroki Matsutani, Michihiro Koibuchi, Tadahiro Kuroda, Hideharu Amano
Chapter 11. Influence of Stacked 3D Memory/Cache Architectures on GPUs
Abstract
This chapter investigates the architectural design of a 3D die-stacked Graphics Processing Unit. The investigation includes a discussion of the design space of the system as well as some empirical results that quantify the expected performance gain of such a system. Also, the chapter discusses the cost, power and thermal aspects of the proposed designs.
Ahmed Al Maashri, Guangyu Sun, Xiangyu Dong, Yuan Xie, Narayanan Vijaykrishnan
Backmatter
Metadaten
Titel
3D Integration for NoC-based SoC Architectures
herausgegeben von
Abbas Sheibanyrad
Frédéric Pétrot
Axel Jantsch
Copyright-Jahr
2011
Verlag
Springer New York
Electronic ISBN
978-1-4419-7618-5
Print ISBN
978-1-4419-7617-8
DOI
https://doi.org/10.1007/978-1-4419-7618-5

Neuer Inhalt