Skip to main content
Erschienen in: Wireless Networks 5/2019

11.12.2017

A hierarchical architecture based on traveling salesman problem for hybrid wireless network-on-chip

verfasst von: Bahareh Bahrami, Mohammad Ali Jabraeil Jamali, Shahram Saeidi

Erschienen in: Wireless Networks | Ausgabe 5/2019

Einloggen

Aktivieren Sie unsere intelligente Suche, um passende Fachinhalte oder Patente zu finden.

search-config
loading …

Abstract

The increased latency and power consumption are the major challenges of traditional wired Network-on-Chip (NoC). The Wireless NoC (WNoC) architecture is regarded as a novel approach to solve NoC problems. In this paper, hybrid hierarchical structures are studied. The considered topologies for the first level of the hierarchy include: Chordal Ring of degree 3 (CR) and Ring-Connected Cycles (RCC). On the second level of the hierarchy, inspired by the Traveling Salesman Problem (TSP), a new method is proposed to form the topology. Considering the NP-Hard nature of the problem, the hybrid PS-ACO algorithm is used to obtain the desired tour. In this paper, the uniform random traffic is used as the synthetic traffic pattern and the 3-tuple traffic is used as a real application traffic pattern. The simulation results show that the proposed structure has fewer wired links and considerably increased efficiency compared with a wired mesh topology in NoC.

Sie haben noch keine Lizenz? Dann Informieren Sie sich jetzt über unsere Produkte:

Springer Professional "Wirtschaft+Technik"

Online-Abonnement

Mit Springer Professional "Wirtschaft+Technik" erhalten Sie Zugriff auf:

  • über 102.000 Bücher
  • über 537 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Finance + Banking
  • Management + Führung
  • Marketing + Vertrieb
  • Maschinenbau + Werkstoffe
  • Versicherung + Risiko

Jetzt Wissensvorsprung sichern!

Springer Professional "Technik"

Online-Abonnement

Mit Springer Professional "Technik" erhalten Sie Zugriff auf:

  • über 67.000 Bücher
  • über 390 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Maschinenbau + Werkstoffe




 

Jetzt Wissensvorsprung sichern!

Springer Professional "Wirtschaft"

Online-Abonnement

Mit Springer Professional "Wirtschaft" erhalten Sie Zugriff auf:

  • über 67.000 Bücher
  • über 340 Zeitschriften

aus folgenden Fachgebieten:

  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Finance + Banking
  • Management + Führung
  • Marketing + Vertrieb
  • Versicherung + Risiko




Jetzt Wissensvorsprung sichern!

Literatur
1.
Zurück zum Zitat ITRS. (2011). Edition—system drivers (2011). ITRS. (2011). Edition—system drivers (2011).
2.
Zurück zum Zitat Benini, L., & De Micheli, G. (2002). Network on chip: A new paradigm for systems on chip design. In Proceedings of design, automation and test in Europe conference and exhibition (pp. 418–419). Benini, L., & De Micheli, G. (2002). Network on chip: A new paradigm for systems on chip design. In Proceedings of design, automation and test in Europe conference and exhibition (pp. 418–419).
5.
Zurück zum Zitat Karkar, A., Mak, T., Tong, K.-F., & Yakovlev, A. (2016). A survey of emerging interconnects for on-chip efficient multicast and broadcast in many-cores. IEEE Circuits and Systems Magazine, 16(1), 58–72.CrossRef Karkar, A., Mak, T., Tong, K.-F., & Yakovlev, A. (2016). A survey of emerging interconnects for on-chip efficient multicast and broadcast in many-cores. IEEE Circuits and Systems Magazine, 16(1), 58–72.CrossRef
6.
Zurück zum Zitat Pavlidis, V., & Friedman, E. (2006). 3-D Topologies for networks-on-chip. In IEEE international SOC conference (pp. 285–288). Pavlidis, V., & Friedman, E. (2006). 3-D Topologies for networks-on-chip. In IEEE international SOC conference (pp. 285–288).
7.
Zurück zum Zitat Shacham, A., Bergman, K., & Carloni, L. (2008). Photonic networks-on-chip for future generations of chip multiprocessors. IEEE Transactions on Computers, 57(9), 1246–1260.MathSciNetCrossRef Shacham, A., Bergman, K., & Carloni, L. (2008). Photonic networks-on-chip for future generations of chip multiprocessors. IEEE Transactions on Computers, 57(9), 1246–1260.MathSciNetCrossRef
8.
Zurück zum Zitat Chang, M., Cong, J., Kaplan, A., Naik, M., Reinman, G., Socher, E., & Tam, S.-W. (2008). CMP network-on-chip overlaid with multi-band RF-interconnect. In Proceedings of IEEE international symposium high-performance computer architecture (HPCA) (pp. 191–202). Chang, M., Cong, J., Kaplan, A., Naik, M., Reinman, G., Socher, E., & Tam, S.-W. (2008). CMP network-on-chip overlaid with multi-band RF-interconnect. In Proceedings of IEEE international symposium high-performance computer architecture (HPCA) (pp. 191–202).
9.
Zurück zum Zitat Carloni, L., Pande, P., & Xie, Y. (2009). Network-on-chip in emerging interconnect paradigms: Advantages and challenges. In Proceedings of 3rd ACM/IEEE international symposium network-on-chip (pp. 93–102). Carloni, L., Pande, P., & Xie, Y. (2009). Network-on-chip in emerging interconnect paradigms: Advantages and challenges. In Proceedings of 3rd ACM/IEEE international symposium network-on-chip (pp. 93–102).
11.
Zurück zum Zitat Ganguly, A., Chang, K., Deb, S., Pande, P. P., Belzer, B., & Teuscher, C. (2011). Scalable hybrid wireless network-on-chip architectures for multicore systems. IEEE Transactions on Computers, 60(10), 1485–1502.MathSciNetCrossRefMATH Ganguly, A., Chang, K., Deb, S., Pande, P. P., Belzer, B., & Teuscher, C. (2011). Scalable hybrid wireless network-on-chip architectures for multicore systems. IEEE Transactions on Computers, 60(10), 1485–1502.MathSciNetCrossRefMATH
12.
Zurück zum Zitat Burke, P. J., Li, S., & Yu, Z. (2006). Quantitative theory of nanowire and nanotube antenna performance. IEEE Transactions on Nanotechnology, 5(4), 314–334.CrossRef Burke, P. J., Li, S., & Yu, Z. (2006). Quantitative theory of nanowire and nanotube antenna performance. IEEE Transactions on Nanotechnology, 5(4), 314–334.CrossRef
13.
Zurück zum Zitat Kempa, K., et al. (2007). Carbon nanotubes as optical antennae. Advanced Materials, 19, 421–426.CrossRef Kempa, K., et al. (2007). Carbon nanotubes as optical antennae. Advanced Materials, 19, 421–426.CrossRef
14.
Zurück zum Zitat Deb, S., Chang, K., Yu, X., Sah, S. P., Cosic, M., Ganguly, A., et al. (2013). Design of an energy-efficient CMOS-compatible NoC architecture with millimeter-wave wireless interconnects. IEEE Transactions on Computers, 62(12), 2382–2396.MathSciNetCrossRef Deb, S., Chang, K., Yu, X., Sah, S. P., Cosic, M., Ganguly, A., et al. (2013). Design of an energy-efficient CMOS-compatible NoC architecture with millimeter-wave wireless interconnects. IEEE Transactions on Computers, 62(12), 2382–2396.MathSciNetCrossRef
15.
Zurück zum Zitat Tomassini, M., Giacobini, M., & Darabos, C. (2005). Evolution and dynamics of small-world cellular automata. Complex Systems, 15(4), 261–284.MathSciNetMATH Tomassini, M., Giacobini, M., & Darabos, C. (2005). Evolution and dynamics of small-world cellular automata. Complex Systems, 15(4), 261–284.MathSciNetMATH
16.
Zurück zum Zitat Murray, J., Wettin, P., Pande, P., & Shirazi, B. (2016). Sustainable wireless network-on-chip architectures. San Francisco, CA: Morgan Kaufmann. Murray, J., Wettin, P., Pande, P., & Shirazi, B. (2016). Sustainable wireless network-on-chip architectures. San Francisco, CA: Morgan Kaufmann.
17.
Zurück zum Zitat Chien, A. (1998). A cost and speed model for k-ary n-cube wormhole routers. IEEE Transactions on Parallel and Distributed Systems, 9(2), 150–162.CrossRef Chien, A. (1998). A cost and speed model for k-ary n-cube wormhole routers. IEEE Transactions on Parallel and Distributed Systems, 9(2), 150–162.CrossRef
18.
Zurück zum Zitat Duato, J., Yalamanchili, S., & Ni, L. (2003). Interconnection networks an engineering approach. San Francisco, CA: Morgan Kaufmann. Duato, J., Yalamanchili, S., & Ni, L. (2003). Interconnection networks an engineering approach. San Francisco, CA: Morgan Kaufmann.
19.
Zurück zum Zitat Mineo, A., Palesi, M., Ascia, G., & Catania, V. (2016). Exploiting antenna directivity in wireless NoC architectures. Microprocessors and Microsystems, 43, 59–66.CrossRef Mineo, A., Palesi, M., Ascia, G., & Catania, V. (2016). Exploiting antenna directivity in wireless NoC architectures. Microprocessors and Microsystems, 43, 59–66.CrossRef
20.
Zurück zum Zitat Schrijver, A. (2005). On the history of combinatorial optimization. Amsterdam: Elsevier.MATH Schrijver, A. (2005). On the history of combinatorial optimization. Amsterdam: Elsevier.MATH
21.
Zurück zum Zitat Aarts, E. H. L., de Bont, F. M. J., Habers, E. H. A., & van Laarhoven, P. J. M. (1986). Parallel implementations of the statistical cooling algorithm. Integration, the VLSI Journal, 4(3), 209–238.CrossRefMATH Aarts, E. H. L., de Bont, F. M. J., Habers, E. H. A., & van Laarhoven, P. J. M. (1986). Parallel implementations of the statistical cooling algorithm. Integration, the VLSI Journal, 4(3), 209–238.CrossRefMATH
22.
Zurück zum Zitat Catthoor, F., & de Man, H. (1988). SAMURAI: A general and efficient simulated-annealing schedule with fully adaptive annealing parameters. Integration, the VLSI Journal, 6(2), 147–178.CrossRef Catthoor, F., & de Man, H. (1988). SAMURAI: A general and efficient simulated-annealing schedule with fully adaptive annealing parameters. Integration, the VLSI Journal, 6(2), 147–178.CrossRef
23.
Zurück zum Zitat Shuang, B., Chen, J., & Li, Z. (2011). Study on hybrid PS-ACO algorithm. Applied Intelligence, 34, 64–73.CrossRef Shuang, B., Chen, J., & Li, Z. (2011). Study on hybrid PS-ACO algorithm. Applied Intelligence, 34, 64–73.CrossRef
24.
Zurück zum Zitat El-Rewini, H., & Abd-El-Barr, M. (2005). Advanced computer architecture and parallel processing. Hoboken, NJ: Wiley. El-Rewini, H., & Abd-El-Barr, M. (2005). Advanced computer architecture and parallel processing. Hoboken, NJ: Wiley.
25.
Zurück zum Zitat Arden, B. W., & Lee, H. (1981). Analysis of chordal ring network. IEEE Transactions on Computers, 30(4), 291–295.MathSciNetCrossRef Arden, B. W., & Lee, H. (1981). Analysis of chordal ring network. IEEE Transactions on Computers, 30(4), 291–295.MathSciNetCrossRef
26.
Zurück zum Zitat Parhami, B. (2002). Introduction to parallel processing algorithms and architectures. New York, NY: Kluwer Academic Publishers. Parhami, B. (2002). Introduction to parallel processing algorithms and architectures. New York, NY: Kluwer Academic Publishers.
27.
Zurück zum Zitat Kim, K., Yoon, H., & Kenneth, K. O. (2000). On-chip wireless interconnection with integrated antennas. In Electron devices meeting (pp. 485–488). Kim, K., Yoon, H., & Kenneth, K. O. (2000). On-chip wireless interconnection with integrated antennas. In Electron devices meeting (pp. 485–488).
28.
Zurück zum Zitat Floyd, B., Hung, C.-M., & Kenneth, K. O. (2002). Intra-chip wireless interconnect for clock distribution implemented with integrated antennas, receivers, and transmitters. IEEE Journal of Solid-State Circuits, 37(5), 543–552.CrossRef Floyd, B., Hung, C.-M., & Kenneth, K. O. (2002). Intra-chip wireless interconnect for clock distribution implemented with integrated antennas, receivers, and transmitters. IEEE Journal of Solid-State Circuits, 37(5), 543–552.CrossRef
29.
Zurück zum Zitat Zhao, D., & Wang, Y. (2008). SD-MAC: Design and synthesis of a hardware-efficient collision-free QoS-aware MAC protocol for wireless network-on-chip. IEEE Transactions on Computers, 57(9), 1230–1245.MathSciNetCrossRefMATH Zhao, D., & Wang, Y. (2008). SD-MAC: Design and synthesis of a hardware-efficient collision-free QoS-aware MAC protocol for wireless network-on-chip. IEEE Transactions on Computers, 57(9), 1230–1245.MathSciNetCrossRefMATH
30.
Zurück zum Zitat Zhao, D., Wang, Y., Li, J., & Kikkawa, T. (2011). Design of multi-channel wireless noc to improve on-chip communication capacity. In Fifth ACM/IEEE international symposium on network-on-chip (pp. 177–184). Zhao, D., Wang, Y., Li, J., & Kikkawa, T. (2011). Design of multi-channel wireless noc to improve on-chip communication capacity. In Fifth ACM/IEEE international symposium on network-on-chip (pp. 177–184).
31.
Zurück zum Zitat Pande, P. P., Ganguly, A., Chang, K., & Teuscher, C. (2009). Hybrid wireless network on chip: A new paradigm in multi-core design. In 2nd International workshop on network on chip architectures (pp. 71–76). Pande, P. P., Ganguly, A., Chang, K., & Teuscher, C. (2009). Hybrid wireless network on chip: A new paradigm in multi-core design. In 2nd International workshop on network on chip architectures (pp. 71–76).
32.
Zurück zum Zitat Kirkpatrick, S., Gelatt, C., Jr., Vecchi, M., & McCoy, A. (1983). Optimization by simulated annealing. Science, 220(4598), 671–679.MathSciNetCrossRefMATH Kirkpatrick, S., Gelatt, C., Jr., Vecchi, M., & McCoy, A. (1983). Optimization by simulated annealing. Science, 220(4598), 671–679.MathSciNetCrossRefMATH
33.
Zurück zum Zitat Jamali, M. A. J., & Khademzadeh, A. (2009). MinRoot and CMesh: Interconnection architectures for network-on-chip systems. International Journal of Electrical, Computer, Energetic, Electronic and Communication Engineering, 3(6), 1303–1308. Jamali, M. A. J., & Khademzadeh, A. (2009). MinRoot and CMesh: Interconnection architectures for network-on-chip systems. International Journal of Electrical, Computer, Energetic, Electronic and Communication Engineering, 3(6), 1303–1308.
34.
Zurück zum Zitat Chariete, A., Bakhouya, M., Gaber, J., & Wack, M. (2015). A design space exploration methodology for customizing on-chip communication architectures: Towards fractal NoCs. Integration, the VLSI Journal, 50, 158–172.CrossRef Chariete, A., Bakhouya, M., Gaber, J., & Wack, M. (2015). A design space exploration methodology for customizing on-chip communication architectures: Towards fractal NoCs. Integration, the VLSI Journal, 50, 158–172.CrossRef
35.
Zurück zum Zitat Deb, S., Ganguly, A., Chang, K., Pande, P., Belzer, B., & Heo, D. (2010). Enhancing performance of network-on-chip architectures with millimeter-wave wireless interconnects. In The 21st IEEE international conference on application-specific systems architectures and processors. Deb, S., Ganguly, A., Chang, K., Pande, P., Belzer, B., & Heo, D. (2010). Enhancing performance of network-on-chip architectures with millimeter-wave wireless interconnects. In The 21st IEEE international conference on application-specific systems architectures and processors.
36.
Zurück zum Zitat Wang, C., Hu, W.-H., & Bagherzadeh, N. (2011). A wireless network-on-chip design for multicore platforms. In Proceedings of 19th euromicro international parallel, distributed and network-based processing (PDP) conference (pp. 409–416). Wang, C., Hu, W.-H., & Bagherzadeh, N. (2011). A wireless network-on-chip design for multicore platforms. In Proceedings of 19th euromicro international parallel, distributed and network-based processing (PDP) conference (pp. 409–416).
37.
Zurück zum Zitat Wang, C., Hu, W.-H., & Bagherzadeh, N. (2012). A load-balanced congestion-aware wireless network-on-chip design for multicore platforms. Microprocessor and Microsystems, 36(7), 555–570.CrossRef Wang, C., Hu, W.-H., & Bagherzadeh, N. (2012). A load-balanced congestion-aware wireless network-on-chip design for multicore platforms. Microprocessor and Microsystems, 36(7), 555–570.CrossRef
38.
Zurück zum Zitat Hu, W.-H., Wang, C., & Bagherzadeh, N. (2015). Design and analysis of a mesh-based wireless network-on-chip. Journal of Supercomputing, 71(8), 2830–2846.CrossRef Hu, W.-H., Wang, C., & Bagherzadeh, N. (2015). Design and analysis of a mesh-based wireless network-on-chip. Journal of Supercomputing, 71(8), 2830–2846.CrossRef
39.
Zurück zum Zitat Rezaei, A., Daneshtalab, M., Safaei, F., & Zhao, D. (2016). Hierarchical approach for hybrid wireless network-on-chip in many-core era. Computers & Electrical Engineering, 51, 225–234.CrossRef Rezaei, A., Daneshtalab, M., Safaei, F., & Zhao, D. (2016). Hierarchical approach for hybrid wireless network-on-chip in many-core era. Computers & Electrical Engineering, 51, 225–234.CrossRef
40.
Zurück zum Zitat Bahrami, B., Jamali, M. A. J., & Saeidi, S. (2016). Proposing an optimal structure for the architecture of wireless networks on chip. Telecommunication Systems, 62, 199–214.CrossRef Bahrami, B., Jamali, M. A. J., & Saeidi, S. (2016). Proposing an optimal structure for the architecture of wireless networks on chip. Telecommunication Systems, 62, 199–214.CrossRef
41.
Zurück zum Zitat Dai, P., Chen, J., Zhao, Y., & Lai, Y.-H. (2015). A study of a wire–wireless hybrid NoC architecture with an energy-proportional multicast scheme for energy efficiency. Computers & Electrical Engineering, 45, 402–416.CrossRef Dai, P., Chen, J., Zhao, Y., & Lai, Y.-H. (2015). A study of a wire–wireless hybrid NoC architecture with an energy-proportional multicast scheme for energy efficiency. Computers & Electrical Engineering, 45, 402–416.CrossRef
42.
Zurück zum Zitat Abadal, S., Nemirovsky, M., Alarcón, E., & Cabellos-Aparicio, A. (2015). Networking challenges and prospective impact of broadcast-oriented wireless networks-on-chip. In Proceedings of the ACM/IEEE NoCS. Abadal, S., Nemirovsky, M., Alarcón, E., & Cabellos-Aparicio, A. (2015). Networking challenges and prospective impact of broadcast-oriented wireless networks-on-chip. In Proceedings of the ACM/IEEE NoCS.
43.
Zurück zum Zitat Abadal, S., Mestres, A., Nemirovsky, M., Lee, H., González, A., Alarcón, E., et al. (2016). Scalability of broadcast performance in wireless network-on-chip. IEEE Transactions on Parallel and Distributed Systems, 27(12), 3631–3645.CrossRef Abadal, S., Mestres, A., Nemirovsky, M., Lee, H., González, A., Alarcón, E., et al. (2016). Scalability of broadcast performance in wireless network-on-chip. IEEE Transactions on Parallel and Distributed Systems, 27(12), 3631–3645.CrossRef
44.
Zurück zum Zitat Kennedy, J., & Eberhart, R. (1995). A new optimizer using particle swarm theory. In Proceedings of the sixth international symposium on micromachine and human science. Kennedy, J., & Eberhart, R. (1995). A new optimizer using particle swarm theory. In Proceedings of the sixth international symposium on micromachine and human science.
45.
46.
Zurück zum Zitat Shamim, M.-S., Mansoor, N., Narde, R.-S., Kothandapani, V., Ganguly, A., & Venkataraman, J. (2017). A wireless interconnection framework for seamless inter and intra-chip communication in multichip systems. IEEE Transactions on Computers, 66, 389–402.MathSciNetCrossRefMATH Shamim, M.-S., Mansoor, N., Narde, R.-S., Kothandapani, V., Ganguly, A., & Venkataraman, J. (2017). A wireless interconnection framework for seamless inter and intra-chip communication in multichip systems. IEEE Transactions on Computers, 66, 389–402.MathSciNetCrossRefMATH
47.
Zurück zum Zitat Ben-Itzhak, Y., Zahavi, E., Cidon, I., & Kolodny, A. (2012). HNOCS: Modular open-source simulator for Heterogeneous NoCs. In SAMOS conference (pp. 51–57). Ben-Itzhak, Y., Zahavi, E., Cidon, I., & Kolodny, A. (2012). HNOCS: Modular open-source simulator for Heterogeneous NoCs. In SAMOS conference (pp. 51–57).
48.
Zurück zum Zitat Soteriou, V., Eisley, N., Wang, H., Li, B., & Peh, L.-S. (2006). Polaris: A system-level roadmap for on-chip interconnection networks. In International conference on computer design (pp. 134–141). Soteriou, V., Eisley, N., Wang, H., Li, B., & Peh, L.-S. (2006). Polaris: A system-level roadmap for on-chip interconnection networks. In International conference on computer design (pp. 134–141).
49.
Zurück zum Zitat Pande, P. P., Grecu, C., Jones, M., Ivanov, A., & Saleh R. (2005). Effect of traffic localization on energy dissipation in NoC-based interconnect. In IEEE international symposium on circuits and systems (pp. 1774–1777). Pande, P. P., Grecu, C., Jones, M., Ivanov, A., & Saleh R. (2005). Effect of traffic localization on energy dissipation in NoC-based interconnect. In IEEE international symposium on circuits and systems (pp. 1774–1777).
50.
Zurück zum Zitat Dally, W., & Towles, B. (2003). Principles and practices of interconnection networks. San Francisco, CA: Morgan Kaufmann. Dally, W., & Towles, B. (2003). Principles and practices of interconnection networks. San Francisco, CA: Morgan Kaufmann.
Metadaten
Titel
A hierarchical architecture based on traveling salesman problem for hybrid wireless network-on-chip
verfasst von
Bahareh Bahrami
Mohammad Ali Jabraeil Jamali
Shahram Saeidi
Publikationsdatum
11.12.2017
Verlag
Springer US
Erschienen in
Wireless Networks / Ausgabe 5/2019
Print ISSN: 1022-0038
Elektronische ISSN: 1572-8196
DOI
https://doi.org/10.1007/s11276-017-1641-8

Weitere Artikel der Ausgabe 5/2019

Wireless Networks 5/2019 Zur Ausgabe

Neuer Inhalt