Skip to main content
Erschienen in: The Journal of Supercomputing 3/2012

01.12.2012

A star network approach in heterogeneous multiprocessors system on chip

verfasst von: Chao Wang, Xi Li, Junneng Zhang, Xuehai Zhou, Aili Wang

Erschienen in: The Journal of Supercomputing | Ausgabe 3/2012

Einloggen

Aktivieren Sie unsere intelligente Suche, um passende Fachinhalte oder Patente zu finden.

search-config
loading …

Abstract

Multiprocessor System on Chip (MPSoC) platform plays a vital role in parallel processor architecture design. However, with the growing number of processors, interconnect on chip is becoming one of the major bottlenecks of MPSoC architecture. In this paper, we propose a star network based on peer to peer links on FPGA. The star network utilizes fast simplex links (FSL) as basic structure to connect the scheduler with heterogeneous processing elements, including processors and hardware IP cores. Blocking and nonblocking application interfaces are provided for high level programming. We built a prototype system on FPGA to evaluate the transfer time and hardware cost of the proposed star network architecture. Experiment results demonstrated that the average transfer time for each word could be reduced to 7 cycles, which achieves 14× speedup against state-of-the-art shared memory literatures. Moreover, the star network cost only 1.2 % Flip Flops and 2.45 % LUTs of a single FPGA.

Sie haben noch keine Lizenz? Dann Informieren Sie sich jetzt über unsere Produkte:

Springer Professional "Wirtschaft"

Online-Abonnement

Mit Springer Professional "Wirtschaft" erhalten Sie Zugriff auf:

  • über 67.000 Bücher
  • über 340 Zeitschriften

aus folgenden Fachgebieten:

  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Finance + Banking
  • Management + Führung
  • Marketing + Vertrieb
  • Versicherung + Risiko




Jetzt Wissensvorsprung sichern!

Springer Professional "Technik"

Online-Abonnement

Mit Springer Professional "Technik" erhalten Sie Zugriff auf:

  • über 67.000 Bücher
  • über 390 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Maschinenbau + Werkstoffe




 

Jetzt Wissensvorsprung sichern!

Springer Professional "Wirtschaft+Technik"

Online-Abonnement

Mit Springer Professional "Wirtschaft+Technik" erhalten Sie Zugriff auf:

  • über 102.000 Bücher
  • über 537 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Finance + Banking
  • Management + Führung
  • Marketing + Vertrieb
  • Maschinenbau + Werkstoffe
  • Versicherung + Risiko

Jetzt Wissensvorsprung sichern!

Literatur
1.
Zurück zum Zitat Benini L, De Micheli G (2002) Networks on chips: a new SoC paradigm[J]. Computer 35(1):70–78 CrossRef Benini L, De Micheli G (2002) Networks on chips: a new SoC paradigm[J]. Computer 35(1):70–78 CrossRef
2.
Zurück zum Zitat Wolf W, Jerraya AA, Martin G (2008) Multiprocessor system-on-chip (MPSoC) technology[J]. IEEE Trans Comput-Aided Des Integr Circuits Syst 27(10):1701–1713 CrossRef Wolf W, Jerraya AA, Martin G (2008) Multiprocessor system-on-chip (MPSoC) technology[J]. IEEE Trans Comput-Aided Des Integr Circuits Syst 27(10):1701–1713 CrossRef
3.
Zurück zum Zitat William JD, Brian T (2001) Route packets, not wires: on-chip interconnection networks. In: Proceedings of the 38th annual design automation conference, Las Vegas, Nevada, United States. ACM, New York William JD, Brian T (2001) Route packets, not wires: on-chip interconnection networks. In: Proceedings of the 38th annual design automation conference, Las Vegas, Nevada, United States. ACM, New York
4.
Zurück zum Zitat Bjerregaard T, Mahadevan S (2006) A survey of research and practices of network-on-chip[J]. ACM Comput Surv 38(1):1 CrossRef Bjerregaard T, Mahadevan S (2006) A survey of research and practices of network-on-chip[J]. ACM Comput Surv 38(1):1 CrossRef
5.
Zurück zum Zitat Martin G (2006) Overview of the MPSoC design challenge. In: Design automation conference, 2006 43rd ACM/IEEE, San Francisco, CA. IEEE Press, New York, pp 274–279 CrossRef Martin G (2006) Overview of the MPSoC design challenge. In: Design automation conference, 2006 43rd ACM/IEEE, San Francisco, CA. IEEE Press, New York, pp 274–279 CrossRef
6.
Zurück zum Zitat Tobias B, Shankar M (2006) A survey of research and practices of network-on-chip. ACM, New York, p 1 Tobias B, Shankar M (2006) A survey of research and practices of network-on-chip. ACM, New York, p 1
7.
Zurück zum Zitat Shekhar B (2007) Thousand core chips: a technology perspective. In: Proceedings of the 44th annual design automation conference, San Diego, CA. ACM, New York Shekhar B (2007) Thousand core chips: a technology perspective. In: Proceedings of the 44th annual design automation conference, San Diego, CA. ACM, New York
8.
Zurück zum Zitat Kuei-Chung C, Jih-Sheng S, Tien-Fu C (2008) Tailoring circuit-switched network-on-chip to application-specific system-on-chip by two optimization schemes. ACM, New York, pp 1–31 Kuei-Chung C, Jih-Sheng S, Tien-Fu C (2008) Tailoring circuit-switched network-on-chip to application-specific system-on-chip by two optimization schemes. ACM, New York, pp 1–31
9.
Zurück zum Zitat Pascal TW, Gerard JMS, Gerard KR, Lodewijk TS (2005) An energy-efficient reconfigurable circuit-switched network-on-chip. In: Proceedings of the 19th IEEE international parallel and distributed processing symposium (IPDPS’05), workshop 3, vol 04. IEEE Comput Soc, Los Alamitos Pascal TW, Gerard JMS, Gerard KR, Lodewijk TS (2005) An energy-efficient reconfigurable circuit-switched network-on-chip. In: Proceedings of the 19th IEEE international parallel and distributed processing symposium (IPDPS’05), workshop 3, vol 04. IEEE Comput Soc, Los Alamitos
10.
Zurück zum Zitat Miquel P, Adrian C, Francisco JC, Ruben G et al (2007) A flexible heterogeneous multi-core architecture. In: Proceedings of the 16th international conference on parallel architecture and compilation techniques. IEEE Comput Soc, Los Alamitos Miquel P, Adrian C, Francisco JC, Ruben G et al (2007) A flexible heterogeneous multi-core architecture. In: Proceedings of the 16th international conference on parallel architecture and compilation techniques. IEEE Comput Soc, Los Alamitos
11.
Zurück zum Zitat Lu R, Cao A, Koh C-K, A-bus SAMB (2007) A high performance bus architecture for system-on-chips,[J]. IEEE Trans Very Large Scale Integr Syst 15(1):69–79 CrossRef Lu R, Cao A, Koh C-K, A-bus SAMB (2007) A high performance bus architecture for system-on-chips,[J]. IEEE Trans Very Large Scale Integr Syst 15(1):69–79 CrossRef
12.
Zurück zum Zitat Salminen E, Lahtinen V, Kuusilinna K, Hamalainen T (2002) Overview of bus-based system-on-chip interconnections. In: IEEE int symp circuits syst, pp 372–375 Salminen E, Lahtinen V, Kuusilinna K, Hamalainen T (2002) Overview of bus-based system-on-chip interconnections. In: IEEE int symp circuits syst, pp 372–375
13.
Zurück zum Zitat Cordan B (1999) An efficient bus architecture for system-on-chip design. In: IEEE integrated circuits conference, pp 623–626 Cordan B (1999) An efficient bus architecture for system-on-chip design. In: IEEE integrated circuits conference, pp 623–626
14.
Zurück zum Zitat Erno S, Tero K, Timo DH et al (2006) HIBI communication network for system-on-chip. Kluwer Academic, Norwell, pp 185–205 Erno S, Tero K, Timo DH et al (2006) HIBI communication network for system-on-chip. Kluwer Academic, Norwell, pp 185–205
15.
Zurück zum Zitat Kanishka L, Anand R, Ganesh L (2001) LOTTERYBUS: a new high-performance communication architecture for system-on-chip designs. In: Proceedings of the 38th annual design automation conference, Las Vegas, Nevada, USA. ACM, New York Kanishka L, Anand R, Ganesh L (2001) LOTTERYBUS: a new high-performance communication architecture for system-on-chip designs. In: Proceedings of the 38th annual design automation conference, Las Vegas, Nevada, USA. ACM, New York
16.
Zurück zum Zitat Bainbridge WJ, Furber SB (1998) Asynchronous macrocell interconnect using MARBLE. In: Proceedings of the 4th international symposium on advanced research in asynchronous circuits and systems. IEEE Comput Soc, Los Alamitos Bainbridge WJ, Furber SB (1998) Asynchronous macrocell interconnect using MARBLE. In: Proceedings of the 4th international symposium on advanced research in asynchronous circuits and systems. IEEE Comput Soc, Los Alamitos
17.
Zurück zum Zitat Kistler M, Perrone M, Petrini F (2006) Cell multiprocessor communication network: built for speed[J]. IEEE MICRO 26(3):10–23 CrossRef Kistler M, Perrone M, Petrini F (2006) Cell multiprocessor communication network: built for speed[J]. IEEE MICRO 26(3):10–23 CrossRef
18.
Zurück zum Zitat Hoskote Y, Vangal S, Singh A, Borkar N et al (2007) A 5-GHz mesh interconnect for a teraflops processor[J]. IEEE MICRO 27(5):51–61 CrossRef Hoskote Y, Vangal S, Singh A, Borkar N et al (2007) A 5-GHz mesh interconnect for a teraflops processor[J]. IEEE MICRO 27(5):51–61 CrossRef
19.
Zurück zum Zitat Chou S-H, Chena C-C, Wena C-N, Chena T-F et al (2011) Hierarchical circuit-switched NoC for multicore video processing [J]. Microprocess Microsyst 35(5):182–199 (Special issue on network-on-chip architectures and design methodologies) CrossRef Chou S-H, Chena C-C, Wena C-N, Chena T-F et al (2011) Hierarchical circuit-switched NoC for multicore video processing [J]. Microprocess Microsyst 35(5):182–199 (Special issue on network-on-chip architectures and design methodologies) CrossRef
20.
Zurück zum Zitat Drew W (2001) Micronetwork-based integration for SOCs: 673. In: Proceedings of the 38th annual design automation conference, Las Vegas, Nevada, USA. ACM, New York Drew W (2001) Micronetwork-based integration for SOCs: 673. In: Proceedings of the 38th annual design automation conference, Las Vegas, Nevada, USA. ACM, New York
21.
Zurück zum Zitat Fanet A (2005) NoC: the arch key of IP integration methodology. In: Proc of MPSoC symp Fanet A (2005) NoC: the arch key of IP integration methodology. In: Proc of MPSoC symp
22.
Zurück zum Zitat Bailey B, Martin G, Piziali A (2007) In: ESL design and verification: a prescription for electronic system level methodology, San Francisco, CA. Kaufmann, Los Altos Bailey B, Martin G, Piziali A (2007) In: ESL design and verification: a prescription for electronic system level methodology, San Francisco, CA. Kaufmann, Los Altos
23.
Zurück zum Zitat Tuan VM, Katsura N, Matsutani H, Amano H (2009) Evaluation of a multicore reconfigurable architecture with variable core sizes. In: IEEE international symposium on parallel & distributed processing, 2009 (IPDPS 2009), pp 1–8 CrossRef Tuan VM, Katsura N, Matsutani H, Amano H (2009) Evaluation of a multicore reconfigurable architecture with variable core sizes. In: IEEE international symposium on parallel & distributed processing, 2009 (IPDPS 2009), pp 1–8 CrossRef
24.
Zurück zum Zitat Vu Manh T, Amano H (2007) A mapping method for multi-process execution on dynamically reconfigurable processors. In: International conference on field-programmable technology, 2007 (ICFPT 2007), pp 357–360 Vu Manh T, Amano H (2007) A mapping method for multi-process execution on dynamically reconfigurable processors. In: International conference on field-programmable technology, 2007 (ICFPT 2007), pp 357–360
25.
Zurück zum Zitat Hecht R, Kubisch S, Herrholtz A, Timmermann D (2005) Dynamic reconfiguration with hardwired networks-on-chip on future FPGAs. In: 14th int conf on field programmable logic and applications (FPL), pp 527–530 CrossRef Hecht R, Kubisch S, Herrholtz A, Timmermann D (2005) Dynamic reconfiguration with hardwired networks-on-chip on future FPGAs. In: 14th int conf on field programmable logic and applications (FPL), pp 527–530 CrossRef
26.
Zurück zum Zitat Kees G, John D, Andrei R (2005) In: Æthereal network on chip: concepts, architectures, and implementations. IEEE Comput Soc, Los Alamitos, pp 414–421 Kees G, John D, Andrei R (2005) In: Æthereal network on chip: concepts, architectures, and implementations. IEEE Comput Soc, Los Alamitos, pp 414–421
27.
Zurück zum Zitat Roman G, Israel C, Idit K (2007) NoC-based FPGA: architecture and routing. In: Proceedings of the first international symposium on networks-on-chip. IEEE Comput Soc, Los Alamitos Roman G, Israel C, Idit K (2007) NoC-based FPGA: architecture and routing. In: Proceedings of the first international symposium on networks-on-chip. IEEE Comput Soc, Los Alamitos
28.
Zurück zum Zitat Muhammad ESE, Abdelhafidh B A hardwired NoC infrastructure for embedded systems on FPGAs. Elsevier, Amsterdam, pp 200–216 Muhammad ESE, Abdelhafidh B A hardwired NoC infrastructure for embedded systems on FPGAs. Elsevier, Amsterdam, pp 200–216
29.
Zurück zum Zitat Elmiligi H, El-Kharashi M, Gebali F (2007) Introducing OperaNP: a reconfigurable NoC-based platform. In: IEEE Canadian conf on electrical and computer engineering, pp 940–943 CrossRef Elmiligi H, El-Kharashi M, Gebali F (2007) Introducing OperaNP: a reconfigurable NoC-based platform. In: IEEE Canadian conf on electrical and computer engineering, pp 940–943 CrossRef
30.
Zurück zum Zitat Fu B, Han Y, Ma J, Li H et al (2011) An abacus turn model for time/space-efficient reconfigurable routing. In: Proceedings of the 38th annual international symposium on computer architecture, San Jose, CA, USA. ACM, New York, pp 259–270 Fu B, Han Y, Ma J, Li H et al (2011) An abacus turn model for time/space-efficient reconfigurable routing. In: Proceedings of the 38th annual international symposium on computer architecture, San Jose, CA, USA. ACM, New York, pp 259–270
31.
Zurück zum Zitat Ma S, Jerger NE, Wang Z (2011) DBAR: an efficient routing algorithm to support multiple concurrent applications in networks-on-chip. In: Proceedings of the 38th annual international symposium on computer architecture. ACM, New York, pp 413–424 Ma S, Jerger NE, Wang Z (2011) DBAR: an efficient routing algorithm to support multiple concurrent applications in networks-on-chip. In: Proceedings of the 38th annual international symposium on computer architecture. ACM, New York, pp 413–424
32.
Zurück zum Zitat Mishra AK, Vijaykrishnan N, Das CR (2011) A case for heterogeneous on-chip interconnects for CMPs. In: Proceedings of the 38th annual international symposium on computer architecture. San Jose, CA, USA. ACM, New York, pp 389–400 Mishra AK, Vijaykrishnan N, Das CR (2011) A case for heterogeneous on-chip interconnects for CMPs. In: Proceedings of the 38th annual international symposium on computer architecture. San Jose, CA, USA. ACM, New York, pp 389–400
33.
Zurück zum Zitat Samuelsson H, Kumar S (2004) Ring road NoC architecture. In: Proceeding of norchip conference Samuelsson H, Kumar S (2004) Ring road NoC architecture. In: Proceeding of norchip conference
34.
Zurück zum Zitat Siguenza-Tortosa D, Nurmi J (2002) Proteo: a new approach to network-on-chip. In: Proceedings of IASTED international conference Siguenza-Tortosa D, Nurmi J (2002) Proteo: a new approach to network-on-chip. In: Proceedings of IASTED international conference
35.
Zurück zum Zitat Jong Wook K, Chu Shik J (2007) Torus ring: improving performance of interconnection network by modifying hierarchical ring. Elsevier, Amsterdam, pp 2–20 Jong Wook K, Chu Shik J (2007) Torus ring: improving performance of interconnection network by modifying hierarchical ring. Elsevier, Amsterdam, pp 2–20
36.
Zurück zum Zitat Bourduas S, Zilic Z (2007) A hybrid ring/mesh interconnect for network-on-chip using hierarchical rings for global routing. In: Proceedings of the first international symposium on networks-on-chip. IEEE Comput Soc, Los Alamitos Bourduas S, Zilic Z (2007) A hybrid ring/mesh interconnect for network-on-chip using hierarchical rings for global routing. In: Proceedings of the first international symposium on networks-on-chip. IEEE Comput Soc, Los Alamitos
37.
Zurück zum Zitat Chen C-C, Wen C-N, Chan Y-C, Chen T-F, Wang C-C, Wang J-S (2009) No cache-coherence: a single-cycle ring interconnection for multi-core L1-NUCA sharing on 3D chips. In: Proceedings of the 46th annual design automation conference, San Francisco, CA. ACM, New York Chen C-C, Wen C-N, Chan Y-C, Chen T-F, Wang C-C, Wang J-S (2009) No cache-coherence: a single-cycle ring interconnection for multi-core L1-NUCA sharing on 3D chips. In: Proceedings of the 46th annual design automation conference, San Francisco, CA. ACM, New York
38.
Zurück zum Zitat Faruque MAA, Ebi T, Henkel J (2007) Run-time adaptive on-chip communication scheme. In: Proceedings of the 2007 IEEE/ACM international conference on computer-aided design, San Jose, CA. IEEE Press, New York Faruque MAA, Ebi T, Henkel J (2007) Run-time adaptive on-chip communication scheme. In: Proceedings of the 2007 IEEE/ACM international conference on computer-aided design, San Jose, CA. IEEE Press, New York
39.
Zurück zum Zitat Wang C, Zhang H, Zhou X, Ma H (2011) A study on cache mechanism in heterogeneous memory system[J]. Acta Electron Sin 39(6):1265–1271 Wang C, Zhang H, Zhou X, Ma H (2011) A study on cache mechanism in heterogeneous memory system[J]. Acta Electron Sin 39(6):1265–1271
40.
Zurück zum Zitat Zheng L, Jueping C, Ming D, Lei Y et al (2010) Hybrid communication reconfigurable network on chip for MPSoC. In: 2010 24th IEEE international conference on advanced information networking and applications (AINA), Perth, WA, pp 356–361 CrossRef Zheng L, Jueping C, Ming D, Lei Y et al (2010) Hybrid communication reconfigurable network on chip for MPSoC. In: 2010 24th IEEE international conference on advanced information networking and applications (AINA), Perth, WA, pp 356–361 CrossRef
41.
Zurück zum Zitat Gohringer D, Becker J (2010) High performance reconfigurable multi-processor-based computing on FPGAs. In: 2010 IEEE international symposium on parallel & distributed processing, workshops and Phd forum (IPDPSW), Atlanta, GA, pp 1–4 CrossRef Gohringer D, Becker J (2010) High performance reconfigurable multi-processor-based computing on FPGAs. In: 2010 IEEE international symposium on parallel & distributed processing, workshops and Phd forum (IPDPSW), Atlanta, GA, pp 1–4 CrossRef
42.
Zurück zum Zitat Wang C, Zhang J, Zhou X, Feng X et al (2011) A flexible high speed star network based on peer to peer links on FPGA. In: 2011 IEEE 9th international symposium on parallel and distributed processing with applications (ISPA), pp 107–112 CrossRef Wang C, Zhang J, Zhou X, Feng X et al (2011) A flexible high speed star network based on peer to peer links on FPGA. In: 2011 IEEE 9th international symposium on parallel and distributed processing with applications (ISPA), pp 107–112 CrossRef
43.
Zurück zum Zitat Wang C, Li X, Zhou X, Feng X (2012) CRAIS: a crossbar based adaptive interconnection scheme. In: Proceedings of the 8th international conference on reconfigurable computing: architectures, tools and applications, Hong Kong, China. Springer, Berlin, pp 379–384 CrossRef Wang C, Li X, Zhou X, Feng X (2012) CRAIS: a crossbar based adaptive interconnection scheme. In: Proceedings of the 8th international conference on reconfigurable computing: architectures, tools and applications, Hong Kong, China. Springer, Berlin, pp 379–384 CrossRef
44.
Zurück zum Zitat Chung ES, Hoe JC, Mai K (2011) CoRAM: an in-fabric memory architecture for FPGA-based computing. In: Proceedings of the 19th ACM/SIGDA international symposium on field programmable gate arrays, Monterey, CA, USA. ACM, New York Chung ES, Hoe JC, Mai K (2011) CoRAM: an in-fabric memory architecture for FPGA-based computing. In: Proceedings of the 19th ACM/SIGDA international symposium on field programmable gate arrays, Monterey, CA, USA. ACM, New York
45.
Zurück zum Zitat Carpenter A, Hu J, Xu J, Huang M et al (2011) A case for globally shared-medium on-chip interconnect. In: Proceedings of the 38th annual international symposium on computer architecture. ACM, New York, pp 271–282 Carpenter A, Hu J, Xu J, Huang M et al (2011) A case for globally shared-medium on-chip interconnect. In: Proceedings of the 38th annual international symposium on computer architecture. ACM, New York, pp 271–282
46.
Zurück zum Zitat Wang C, Zhang J, Zhou X, Feng X et al (2011) SOMP: service-oriented multi processors. In: Proceedings of the 2011 IEEE international conference on services computing. IEEE Comput Soc, Los Alamitos, pp 709–716 CrossRef Wang C, Zhang J, Zhou X, Feng X et al (2011) SOMP: service-oriented multi processors. In: Proceedings of the 2011 IEEE international conference on services computing. IEEE Comput Soc, Los Alamitos, pp 709–716 CrossRef
47.
Zurück zum Zitat Rosinger H-P (2004) Connecting customized IP to the MicroBlaze soft processor using the fast simplex link (FSL) channel. Xilinx Inc Rosinger H-P (2004) Connecting customized IP to the MicroBlaze soft processor using the fast simplex link (FSL) channel. Xilinx Inc
Metadaten
Titel
A star network approach in heterogeneous multiprocessors system on chip
verfasst von
Chao Wang
Xi Li
Junneng Zhang
Xuehai Zhou
Aili Wang
Publikationsdatum
01.12.2012
Verlag
Springer US
Erschienen in
The Journal of Supercomputing / Ausgabe 3/2012
Print ISSN: 0920-8542
Elektronische ISSN: 1573-0484
DOI
https://doi.org/10.1007/s11227-012-0810-x

Weitere Artikel der Ausgabe 3/2012

The Journal of Supercomputing 3/2012 Zur Ausgabe