Skip to main content
Erschienen in: Design Automation for Embedded Systems 3-4/2017

27.09.2017

An improved low transition test pattern generator for low power applications

verfasst von: Govindaraj Vellingiri, Ramesh Jayabalan

Erschienen in: Design Automation for Embedded Systems | Ausgabe 3-4/2017

Einloggen

Aktivieren Sie unsere intelligente Suche, um passende Fachinhalte oder Patente zu finden.

search-config
loading …

Abstract

VLSI circuits are perceived to dissipate extra power during testing when compared with that of the normal function. Drastic heat may reduce circuit consistency, shoot up package cost, and even cause permanent damage to the circuit under test. Thus minimization of test power has gained increased significance. This paper explores the avenues in power minimization during test application in CMOS VLSI circuits since power consumption during testing is high when compared to normal operation. Design of low transition Test Pattern Generators is one usual method adopted to reduce power consumption. In the Proposed Modified Low Transition Linear Feedback Shift Register, power dissipation during testing is reduced by minimizing the switching activity between successive test vectors by comparing the two consecutive test vectors and inserting random bit such that total number of transitions is reduced without affecting the randomness. Significant advantage of this method is reduced power consumption with reduced complexity when compared to other existing methods. Considering experimental results there is a significant reduction in power consumption up to 36.2% for ISCAS’85 combinational bench mark circuits and up to 10% for ISCAS’89 Benchmark sequential circuit with marginal increase in area overhead.

Sie haben noch keine Lizenz? Dann Informieren Sie sich jetzt über unsere Produkte:

Springer Professional "Wirtschaft+Technik"

Online-Abonnement

Mit Springer Professional "Wirtschaft+Technik" erhalten Sie Zugriff auf:

  • über 102.000 Bücher
  • über 537 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Finance + Banking
  • Management + Führung
  • Marketing + Vertrieb
  • Maschinenbau + Werkstoffe
  • Versicherung + Risiko

Jetzt Wissensvorsprung sichern!

Springer Professional "Technik"

Online-Abonnement

Mit Springer Professional "Technik" erhalten Sie Zugriff auf:

  • über 67.000 Bücher
  • über 390 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Maschinenbau + Werkstoffe




 

Jetzt Wissensvorsprung sichern!

Springer Professional "Wirtschaft"

Online-Abonnement

Mit Springer Professional "Wirtschaft" erhalten Sie Zugriff auf:

  • über 67.000 Bücher
  • über 340 Zeitschriften

aus folgenden Fachgebieten:

  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Finance + Banking
  • Management + Führung
  • Marketing + Vertrieb
  • Versicherung + Risiko




Jetzt Wissensvorsprung sichern!

Literatur
1.
Zurück zum Zitat Chakravarty S, Dabholkar V (1994) Two techniques for minimizing power dissipation in scan circuits during test application. In: Proceedings of Asian test symposium. pp 324–329 Chakravarty S, Dabholkar V (1994) Two techniques for minimizing power dissipation in scan circuits during test application. In: Proceedings of Asian test symposium. pp 324–329
2.
Zurück zum Zitat Girard P (1999) Low energy BIST design: impact of the LFSR TPG parameters on the weighted switching activity. In: Proceedings in the IEEE international symposium on circuits and systems ISCAS 99, May 30-June 2. pp 56–58 Girard P (1999) Low energy BIST design: impact of the LFSR TPG parameters on the weighted switching activity. In: Proceedings in the IEEE international symposium on circuits and systems ISCAS 99, May 30-June 2. pp 56–58
3.
Zurück zum Zitat Rosinger P, Al-Hashimi BM, Nicolici N (2004) Scan architecture with mutually exclusive scan segment activation for shift and capture-power reduction. IEEE Trans Comput Aided Des Integr Circ Syst 23(7):1142–1153CrossRef Rosinger P, Al-Hashimi BM, Nicolici N (2004) Scan architecture with mutually exclusive scan segment activation for shift and capture-power reduction. IEEE Trans Comput Aided Des Integr Circ Syst 23(7):1142–1153CrossRef
4.
Zurück zum Zitat Lai N, Wang S (2002) A reseeding technique for LFSR-based BIST applications. In: Proceedings of the 11th Asian test symposium, November 2002. pp 200–205 Lai N, Wang S (2002) A reseeding technique for LFSR-based BIST applications. In: Proceedings of the 11th Asian test symposium, November 2002. pp 200–205
5.
Zurück zum Zitat Tehranipoor M, Nourani M, Ahmed N (2005) Low transition LFSR for BIST-based applications. In: Proceedings of the 14th Asian Test Symposium (ATS’05), pp. 138–143 Tehranipoor M, Nourani M, Ahmed N (2005) Low transition LFSR for BIST-based applications. In: Proceedings of the 14th Asian Test Symposium (ATS’05), pp. 138–143
6.
Zurück zum Zitat Wang S, Gupta SK (2006) LT-RTPG: a new test-per-scan BIST TPG for low switching activity. IEEE Trans Comput Aided Des Integr Circ Syst 25(8):1565–1574CrossRef Wang S, Gupta SK (2006) LT-RTPG: a new test-per-scan BIST TPG for low switching activity. IEEE Trans Comput Aided Des Integr Circ Syst 25(8):1565–1574CrossRef
7.
Zurück zum Zitat Chen X, Hsiao MS (2007) An overlapping scan architecture for reducing both test time and test power by pipelining fault detection. IEEE Trans VLSI Syst 15(4):404–412CrossRef Chen X, Hsiao MS (2007) An overlapping scan architecture for reducing both test time and test power by pipelining fault detection. IEEE Trans VLSI Syst 15(4):404–412CrossRef
8.
Zurück zum Zitat Wang S (2007) A BIST TPG for low power dissipation and high fault coverage. IEEE Trans VLSI Syst 15(7):777–789CrossRef Wang S (2007) A BIST TPG for low power dissipation and high fault coverage. IEEE Trans VLSI Syst 15(7):777–789CrossRef
9.
Zurück zum Zitat Nourani M, Tehranipoor M, Ahmed N (2008) Low transition test pattern generation for BIST based applications. IEEE Trans Comput 57(3):303–315MathSciNetCrossRefMATH Nourani M, Tehranipoor M, Ahmed N (2008) Low transition test pattern generation for BIST based applications. IEEE Trans Comput 57(3):303–315MathSciNetCrossRefMATH
10.
Zurück zum Zitat Yang MH, Kim Y, Chun S, Kang S (2008) An effective power reduction methodology for deterministic BIST using auxiliary LFSR. J Electron Test 24(6):591–595CrossRef Yang MH, Kim Y, Chun S, Kang S (2008) An effective power reduction methodology for deterministic BIST using auxiliary LFSR. J Electron Test 24(6):591–595CrossRef
11.
Zurück zum Zitat Abu-Issa AS, Quigley SF (2009) Bit swapping LFSR and scan chain ordering : a novel technique for peak and average power reduction in scan based BIST. IEEE Trans Comput Aided Des Integr Circ Syst 28(5):755–759CrossRef Abu-Issa AS, Quigley SF (2009) Bit swapping LFSR and scan chain ordering : a novel technique for peak and average power reduction in scan based BIST. IEEE Trans Comput Aided Des Integr Circ Syst 28(5):755–759CrossRef
12.
Zurück zum Zitat Zhou B, Xiao LI, Ye YZ, Wu XC (2011) Optimization of test power and data volume in BIST scheme based on scan slice overlapping. J Electron Test 27(1):43–56CrossRef Zhou B, Xiao LI, Ye YZ, Wu XC (2011) Optimization of test power and data volume in BIST scheme based on scan slice overlapping. J Electron Test 27(1):43–56CrossRef
13.
Zurück zum Zitat Strauch T (2012) Single cycle access structure for logic test. IEEE Trans VLSI Syst 20(5):878–891CrossRef Strauch T (2012) Single cycle access structure for logic test. IEEE Trans VLSI Syst 20(5):878–891CrossRef
14.
Zurück zum Zitat Liang F, Zhang L, Zhang G, Gao K, Liang B (2013) Test patterns of multiple SIC vectors: theory and applications in BIST schemes. IEEE Trans VLSI Syst 21(4):614–623CrossRef Liang F, Zhang L, Zhang G, Gao K, Liang B (2013) Test patterns of multiple SIC vectors: theory and applications in BIST schemes. IEEE Trans VLSI Syst 21(4):614–623CrossRef
15.
Zurück zum Zitat Lien WC, Lee KJ, Hsieh TU, Ang WL (2013) An efficient on chip test generation scheme based on programmable and multiple twisted ring counter. IEEE Trans Comput Aided Des Integr Circ Syst 32(8):1254–1264CrossRef Lien WC, Lee KJ, Hsieh TU, Ang WL (2013) An efficient on chip test generation scheme based on programmable and multiple twisted ring counter. IEEE Trans Comput Aided Des Integr Circ Syst 32(8):1254–1264CrossRef
16.
Zurück zum Zitat Tseng W, Lee LJ (2007) Reduction of power dissipation during scan testing by test vector ordering. In: Proceedings of the international workshop on microprocessor test and verification, December 2007. pp 15–21 Tseng W, Lee LJ (2007) Reduction of power dissipation during scan testing by test vector ordering. In: Proceedings of the international workshop on microprocessor test and verification, December 2007. pp 15–21
17.
Zurück zum Zitat Maiti TK, Chattopadhyay S (2008) Don’t care filling for power minimization in VLSI circuit testing. In: IEEE international symposium on circuits and systems, May 2008. pp 2637–2640 Maiti TK, Chattopadhyay S (2008) Don’t care filling for power minimization in VLSI circuit testing. In: IEEE international symposium on circuits and systems, May 2008. pp 2637–2640
18.
Zurück zum Zitat Wu Q, Qiu Q, Pedram M (2001) Estimation of peak power dissipation in VLSI circuits using the limiting distributions of extreme order statistics. IEEE Trans Comput Aided Des Integr Circ Syst 20(8):942–956CrossRef Wu Q, Qiu Q, Pedram M (2001) Estimation of peak power dissipation in VLSI circuits using the limiting distributions of extreme order statistics. IEEE Trans Comput Aided Des Integr Circ Syst 20(8):942–956CrossRef
19.
Zurück zum Zitat Lai NC, Wang SJ, Fu YH (2006) Low power BIST with a smoother and scan chain reorder under optimal cluster size. IEEE Trans Comput Aided Des Integr Circ Syst 25(11):2586–2594CrossRef Lai NC, Wang SJ, Fu YH (2006) Low power BIST with a smoother and scan chain reorder under optimal cluster size. IEEE Trans Comput Aided Des Integr Circ Syst 25(11):2586–2594CrossRef
20.
Zurück zum Zitat Ding CS, Hsieh CT, Wu Q, Pedram M (1997) Stratified random sampling for power estimation. In: Proceedings of the 1996 IEEE/ACM international conference on Computer-aided design. IEEE Computer Society, pp. 576–582 Ding CS, Hsieh CT, Wu Q, Pedram M (1997) Stratified random sampling for power estimation. In: Proceedings of the 1996 IEEE/ACM international conference on Computer-aided design. IEEE Computer Society, pp. 576–582
21.
Zurück zum Zitat Krishna KM, Sailaja M (2014) Low power memory built in self test address generator using clock controlled linear feedback shift registers. J Electron Test 30(1):77–85CrossRef Krishna KM, Sailaja M (2014) Low power memory built in self test address generator using clock controlled linear feedback shift registers. J Electron Test 30(1):77–85CrossRef
22.
Zurück zum Zitat Arvaniti E, Tsiatouhas Y (2014) Low-power scan testing: a scan chain partitioning and scan hold based technique. J Electron Test 30(3):329–341CrossRef Arvaniti E, Tsiatouhas Y (2014) Low-power scan testing: a scan chain partitioning and scan hold based technique. J Electron Test 30(3):329–341CrossRef
23.
Zurück zum Zitat Hollmann HENK (1990) Design of test sequences for VLSI self-testing using LFSR. IEEE trans inf theory 36(2):386–392 Hollmann HENK (1990) Design of test sequences for VLSI self-testing using LFSR. IEEE trans inf theory 36(2):386–392
Metadaten
Titel
An improved low transition test pattern generator for low power applications
verfasst von
Govindaraj Vellingiri
Ramesh Jayabalan
Publikationsdatum
27.09.2017
Verlag
Springer US
Erschienen in
Design Automation for Embedded Systems / Ausgabe 3-4/2017
Print ISSN: 0929-5585
Elektronische ISSN: 1572-8080
DOI
https://doi.org/10.1007/s10617-017-9188-6

Weitere Artikel der Ausgabe 3-4/2017

Design Automation for Embedded Systems 3-4/2017 Zur Ausgabe