Skip to main content

2013 | OriginalPaper | Buchkapitel

32. Asynchronous Parallel Logic Simulation on Modern Graphics Processors

verfasst von : Yangdong Deng, Yuhao Zhu, Wang Bo

Erschienen in: GPU Solutions to Multi-scale Problems in Science and Engineering

Verlag: Springer Berlin Heidelberg

Aktivieren Sie unsere intelligente Suche, um passende Fachinhalte oder Patente zu finden.

search-config
loading …

Abstract

Logic simulation has become the bottleneck of today’s integrated circuit (IC) design projects. For instance, over 80 % of the IC design turn-around time of NVIDIA is spent on logic simulation even with NVIDIA’s proprietary supercomputing facility. It is thus essential to develop parallel simulation solutions to maintain the momentum of increasing IC integration capacity. Inspired by the supreme parallel computing power of modern GPUs, in this chapter we reported our recent work on using GPU to accelerate the time-consuming IC verification process by developing a massively parallel gate-level logical simulator. To the best of authors’ knowledge, this work is the first one to leverage the power of the modern GPUs to successfully unleash the massive parallelism of a conservative discrete event driven algorithm, CMB algorithm. Based on a novel data-parallel algorithmic mapping strategy, both the data structure and processing flow of the CMB protocol are re-designed to better exploit the potential of modern GPUs. A dynamic memory management mechanism is developed to efficiently utilize the relatively limited GPU memory resource. Experimental results prove that our GPU based simulator outperforms a CPU baseline event-driven simulator by a factor of 47.4X on average. This work demonstrates that the CMB algorithm can be efficiently and effectively deployed on GPUs without the performance overhead that had hindered its successful applications on previous parallel architectures.

Sie haben noch keine Lizenz? Dann Informieren Sie sich jetzt über unsere Produkte:

Springer Professional "Wirtschaft+Technik"

Online-Abonnement

Mit Springer Professional "Wirtschaft+Technik" erhalten Sie Zugriff auf:

  • über 102.000 Bücher
  • über 537 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Finance + Banking
  • Management + Führung
  • Marketing + Vertrieb
  • Maschinenbau + Werkstoffe
  • Versicherung + Risiko

Jetzt Wissensvorsprung sichern!

Springer Professional "Technik"

Online-Abonnement

Mit Springer Professional "Technik" erhalten Sie Zugriff auf:

  • über 67.000 Bücher
  • über 390 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Maschinenbau + Werkstoffe




 

Jetzt Wissensvorsprung sichern!

Springer Professional "Wirtschaft"

Online-Abonnement

Mit Springer Professional "Wirtschaft" erhalten Sie Zugriff auf:

  • über 67.000 Bücher
  • über 340 Zeitschriften

aus folgenden Fachgebieten:

  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Finance + Banking
  • Management + Führung
  • Marketing + Vertrieb
  • Versicherung + Risiko




Jetzt Wissensvorsprung sichern!

Anhänge
Nur mit Berechtigung zugänglich
Literatur
Zurück zum Zitat Amdahl GM (1967) Validity of the single-processor approach to achieving large-scale computing capabilities. In: American federation of information processing societies conference, AFIPS Press, pp 483–485 Amdahl GM (1967) Validity of the single-processor approach to achieving large-scale computing capabilities. In: American federation of information processing societies conference, AFIPS Press, pp 483–485
Zurück zum Zitat Bailey ML, Briner JV Jr, Chamberlain RD (1994) Parallel logic simulation of VLSI systems. ACM Comput Surv 26(3):255–294 Bailey ML, Briner JV Jr, Chamberlain RD (1994) Parallel logic simulation of VLSI systems. ACM Comput Surv 26(3):255–294
Zurück zum Zitat Bataineh A, Özgüner F, Szauter I (1992) Parallel logic and fault simulation algorithms for shared memory vector machines. In: International conference on computer-aided design Bataineh A, Özgüner F, Szauter I (1992) Parallel logic and fault simulation algorithms for shared memory vector machines. In: International conference on computer-aided design
Zurück zum Zitat Blythe D (2008) Rise of the graphics processor. Proc IEEE 96(5):761–778CrossRef Blythe D (2008) Rise of the graphics processor. Proc IEEE 96(5):761–778CrossRef
Zurück zum Zitat Bryant RE (1977) Simulation of packet communications architecture computer system. MIT-LCS-TR-188, MIT Bryant RE (1977) Simulation of packet communications architecture computer system. MIT-LCS-TR-188, MIT
Zurück zum Zitat Chandy KM, Misra J (1979) Distributed simulation: a case study in design and verification of distributed programs. IEEE Trans Softw Eng SE-5(5):440–452 Chandy KM, Misra J (1979) Distributed simulation: a case study in design and verification of distributed programs. IEEE Trans Softw Eng SE-5(5):440–452
Zurück zum Zitat Chandy KM, Misra J (1981) Asynchronous distributed simulation via a sequence of parallel computations. Commun ACM 24(4):198–206MathSciNetCrossRef Chandy KM, Misra J (1981) Asynchronous distributed simulation via a sequence of parallel computations. Commun ACM 24(4):198–206MathSciNetCrossRef
Zurück zum Zitat Chandy KM, Misra J, Holmes V (1979) Distributed simulation of networks. Comput Netw 3:105–113MATH Chandy KM, Misra J, Holmes V (1979) Distributed simulation of networks. Comput Netw 3:105–113MATH
Zurück zum Zitat Chatterjee D, DeOrio A, Bertacco V (2009a) Event-driven gate-level simulation with GP-GPUs. In: Design automation conference Chatterjee D, DeOrio A, Bertacco V (2009a) Event-driven gate-level simulation with GP-GPUs. In: Design automation conference
Zurück zum Zitat Chatterjee D, DeOrio A, Bertacco V (2009b) High-performance gate-level simulation with GP-GPUs. In: Design automation test Europe Chatterjee D, DeOrio A, Bertacco V (2009b) High-performance gate-level simulation with GP-GPUs. In: Design automation test Europe
Zurück zum Zitat Fujimoto RM (2000) Parallel and distributed simulation systems. Wiley-Interscience, New York Fujimoto RM (2000) Parallel and distributed simulation systems. Wiley-Interscience, New York
Zurück zum Zitat Fung WWL, Sham I, Yuan G, Aamodt TM (2007) Dynamic warp formation and scheduling for efficient GPU control flow. In: International symposium on microarchitecture, Chicago, pp 407–418 Fung WWL, Sham I, Yuan G, Aamodt TM (2007) Dynamic warp formation and scheduling for efficient GPU control flow. In: International symposium on microarchitecture, Chicago, pp 407–418
Zurück zum Zitat Gulati K, Khatri S (2008) Towards acceleration of fault simulation using graphics processing units. In: Design automation conference Gulati K, Khatri S (2008) Towards acceleration of fault simulation using graphics processing units. In: Design automation conference
Zurück zum Zitat Holmes V (1978) Parallel algorithms on multiple processor architectures. Ph.D. dissertation, Computer Science Department, University of Texas, Austin Holmes V (1978) Parallel algorithms on multiple processor architectures. Ph.D. dissertation, Computer Science Department, University of Texas, Austin
Zurück zum Zitat Huang JH (2010) Keynote speech. In: Mini GPU technology conference, Beijing Huang JH (2010) Keynote speech. In: Mini GPU technology conference, Beijing
Zurück zum Zitat IEEE (2005) IEEE Std. 1666–2005, Standard for SystemC IEEE (2005) IEEE Std. 1666–2005, Standard for SystemC
Zurück zum Zitat NVIDIA (2009) CUDA Programming Guide 2.3 NVIDIA (2009) CUDA Programming Guide 2.3
Zurück zum Zitat NVIDIA (2010) White paper. NVIDIA’s next generation CUDA™ compute architecture: Fermi NVIDIA (2010) White paper. NVIDIA’s next generation CUDA™ compute architecture: Fermi
Zurück zum Zitat Park H, Fishwick PA (2008) A fast hybrid time-synchronous/event approach to parallel discrete event simulation of queuing networks. In: Conference on winter simulation Park H, Fishwick PA (2008) A fast hybrid time-synchronous/event approach to parallel discrete event simulation of queuing networks. In: Conference on winter simulation
Zurück zum Zitat Peacock JK, Wong JW, Manning EG (1979) Distributed simulation using a network of processors. Comput Netw 3(1):44–56 Peacock JK, Wong JW, Manning EG (1979) Distributed simulation using a network of processors. Comput Netw 3(1):44–56
Zurück zum Zitat Perumalla KS (2006a) Discrete-event execution alternatives on general purpose graphical processing units (GPGPUs). In: Workshop on principles of advanced and distributed simulation Perumalla KS (2006a) Discrete-event execution alternatives on general purpose graphical processing units (GPGPUs). In: Workshop on principles of advanced and distributed simulation
Zurück zum Zitat Perumalla KS (2006b) Parallel and distributed simulation: traditional techniques and recent advances. In: Conference on winter simulation Perumalla KS (2006b) Parallel and distributed simulation: traditional techniques and recent advances. In: Conference on winter simulation
Zurück zum Zitat Rashinkar P, Paterson P, Singh L (2000) System-on-a-chip verification: methodology and techniques. Kluwer Academic Publishers, Dordrecht Rashinkar P, Paterson P, Singh L (2000) System-on-a-chip verification: methodology and techniques. Kluwer Academic Publishers, Dordrecht
Zurück zum Zitat Rybacki S, Himmelspach J, Uhrmacher AM (2009) Experiments with single core, multi-core, and GPU based computation of cellular automata. In: Advances in international conference system simulation, pp 62–67 Rybacki S, Himmelspach J, Uhrmacher AM (2009) Experiments with single core, multi-core, and GPU based computation of cellular automata. In: Advances in international conference system simulation, pp 62–67
Zurück zum Zitat Soule L, Gupta, A (1991) An evaluation of the Chandy-Misra-Bryant algorithm for digital logic simulation. ACM Trans Model Comput Simul 1(4):308–347 Soule L, Gupta, A (1991) An evaluation of the Chandy-Misra-Bryant algorithm for digital logic simulation. ACM Trans Model Comput Simul 1(4):308–347
Zurück zum Zitat Xu Z, Bagrodia R (2007) GPU-accelerated evaluation platform for high fidelity network modeling. In: International workshop on principles of advanced and distributed simulation Xu Z, Bagrodia R (2007) GPU-accelerated evaluation platform for high fidelity network modeling. In: International workshop on principles of advanced and distributed simulation
Metadaten
Titel
Asynchronous Parallel Logic Simulation on Modern Graphics Processors
verfasst von
Yangdong Deng
Yuhao Zhu
Wang Bo
Copyright-Jahr
2013
Verlag
Springer Berlin Heidelberg
DOI
https://doi.org/10.1007/978-3-642-16405-7_32

Premium Partner