Skip to main content

2014 | OriginalPaper | Buchkapitel

11. Encoder Hardware Architecture for HEVC

verfasst von : Sung-Fang Tsai, Cheng-Han Tsai, Liang-Gee Chen

Erschienen in: High Efficiency Video Coding (HEVC)

Verlag: Springer International Publishing

Aktivieren Sie unsere intelligente Suche, um passende Fachinhalte oder Patente zu finden.

search-config
loading …

Abstract

In this chapter, an encoder hardware architecture design for HEVC is described. The system pipeline is first introduced followed by the design details of the different HEVC encoder modules such as inter prediction, intra prediction, mode decision, in-loop filters, and entropy coding. Finally, a sample test chip implementation result is presented as a reference.

Sie haben noch keine Lizenz? Dann Informieren Sie sich jetzt über unsere Produkte:

Springer Professional "Wirtschaft+Technik"

Online-Abonnement

Mit Springer Professional "Wirtschaft+Technik" erhalten Sie Zugriff auf:

  • über 102.000 Bücher
  • über 537 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Finance + Banking
  • Management + Führung
  • Marketing + Vertrieb
  • Maschinenbau + Werkstoffe
  • Versicherung + Risiko

Jetzt Wissensvorsprung sichern!

Springer Professional "Technik"

Online-Abonnement

Mit Springer Professional "Technik" erhalten Sie Zugriff auf:

  • über 67.000 Bücher
  • über 390 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Maschinenbau + Werkstoffe




 

Jetzt Wissensvorsprung sichern!

Literatur
1.
Zurück zum Zitat Bossen F (2011) CE1: Table-based bit estimation for CABAC, Joint Collaborative Team on Video Coding (JCT-VC), Document JCTVC-G763, Geneva, Nov. 2011 Bossen F (2011) CE1: Table-based bit estimation for CABAC, Joint Collaborative Team on Video Coding (JCT-VC), Document JCTVC-G763, Geneva, Nov. 2011
2.
Zurück zum Zitat Budagavi M, Sze V (2012) Unified forward+inverse transform architecture for HEVC. In: IEEE international conference on image processing (ICIP), pp 209–212, 2012 Budagavi M, Sze V (2012) Unified forward+inverse transform architecture for HEVC. In: IEEE international conference on image processing (ICIP), pp 209–212, 2012
3.
Zurück zum Zitat Chang YW, Fang HC, Chen LG (2004) High performance two-symbol arithmetic encoder in JPEG 2000. In: Proceedings of ISCE, 2004 Chang YW, Fang HC, Chen LG (2004) High performance two-symbol arithmetic encoder in JPEG 2000. In: Proceedings of ISCE, 2004
4.
Zurück zum Zitat Chang HC, Chen JW, Su CL, Yang YC, Li Y, Chang CH, Chen ZM, Yang WS, Lin CC, Chen CW, Wang JS, Guo JI (2007) A 7mW-to-183mW dynamic quality-scalable H.264 video encoder chip. In: IEEE international solid-state circuits conference (ISSCC), 2007 Chang HC, Chen JW, Su CL, Yang YC, Li Y, Chang CH, Chen ZM, Yang WS, Lin CC, Chen CW, Wang JS, Guo JI (2007) A 7mW-to-183mW dynamic quality-scalable H.264 video encoder chip. In: IEEE international solid-state circuits conference (ISSCC), 2007
5.
Zurück zum Zitat Chang HC, Chen JW, Su CL, Yang YC, Li Y, Chang CH, Chen ZM, Yang WS, Lin CC, Chen CW, Wang JS, Guo JI (2008) A 242mW 10mm2 1080p H.264/AVC high-profile encoder chip. In: IEEE international solid-state circuits conference (ISSCC), 2008 Chang HC, Chen JW, Su CL, Yang YC, Li Y, Chang CH, Chen ZM, Yang WS, Lin CC, Chen CW, Wang JS, Guo JI (2008) A 242mW 10mm2 1080p H.264/AVC high-profile encoder chip. In: IEEE international solid-state circuits conference (ISSCC), 2008
6.
Zurück zum Zitat Chen TC, Huang YW, Chen LG (2004) Fully utilized and reusable architecture for fractional motion estimation of H.264/AVC. In: IEEE international conference on acoustics, speech, and signal processing (ICASSP), 2004 Chen TC, Huang YW, Chen LG (2004) Fully utilized and reusable architecture for fractional motion estimation of H.264/AVC. In: IEEE international conference on acoustics, speech, and signal processing (ICASSP), 2004
7.
Zurück zum Zitat Chen C-Y, Chien SY, Huang YW, Chen TC, Wang TC, Chen LG (2006) Analysis and architecture design of variable block size motion estimation for H.264/AVC. IEEE Trans Circuits Syst Part I 53(3):578–593CrossRef Chen C-Y, Chien SY, Huang YW, Chen TC, Wang TC, Chen LG (2006) Analysis and architecture design of variable block size motion estimation for H.264/AVC. IEEE Trans Circuits Syst Part I 53(3):578–593CrossRef
8.
Zurück zum Zitat Chen CY, Huang CT, Chen LG (2006) Level C+ data reuse scheme for motion estimation with corresponding coding orders. IEEE Trans Circuits Syst Video Technol 16(4):553–558CrossRef Chen CY, Huang CT, Chen LG (2006) Level C+ data reuse scheme for motion estimation with corresponding coding orders. IEEE Trans Circuits Syst Video Technol 16(4):553–558CrossRef
9.
Zurück zum Zitat Chen TC, Chen YH, Tsai CY, Tsai SF, Chien SY, Chen LG (2007) 2.8 to 67.2mW low-power and power-aware H.264 Encoder for Mobile Applications. In: IEEE symposium on VLSI circuits (VLSIC), 2007 Chen TC, Chen YH, Tsai CY, Tsai SF, Chien SY, Chen LG (2007) 2.8 to 67.2mW low-power and power-aware H.264 Encoder for Mobile Applications. In: IEEE symposium on VLSI circuits (VLSIC), 2007
10.
Zurück zum Zitat Chen TC, Chen YH, Tsai SF, Chien SY, Chen LG (2007) Fast algorithm and architecture design of low-power integer motion estimation for H.264/AVC. IEEE Trans Circuits Syst Video Technol 17:568–577CrossRef Chen TC, Chen YH, Tsai SF, Chien SY, Chen LG (2007) Fast algorithm and architecture design of low-power integer motion estimation for H.264/AVC. IEEE Trans Circuits Syst Video Technol 17:568–577CrossRef
11.
Zurück zum Zitat Chen YJ, Tsai CH, Chen LG (2007) Novel configurable architecture of ML-decomposed binary arithmetic encoder for multimedia applications. In: International symposium on VLSI design, automation and test (VLSI-DAT), 2007 Chen YJ, Tsai CH, Chen LG (2007) Novel configurable architecture of ML-decomposed binary arithmetic encoder for multimedia applications. In: International symposium on VLSI design, automation and test (VLSI-DAT), 2007
12.
Zurück zum Zitat Chen YH, Chuang TD, Chen YJ, Li CT, Hsu CJ, Chien SY, Chen LG (2008) An H.264/AVC scalable extension and high profile HDTV 1080p encoder chip. In: IEEE symposium on VLSI circuits (VLSIC), 2008 Chen YH, Chuang TD, Chen YJ, Li CT, Hsu CJ, Chien SY, Chen LG (2008) An H.264/AVC scalable extension and high profile HDTV 1080p encoder chip. In: IEEE symposium on VLSI circuits (VLSIC), 2008
13.
Zurück zum Zitat Chen YH, Chen TC, Tsai CY, Tsai SF, Chen LG (2008) Data reuse exploration for low power motion estimation architecture design in H.264 encoder. J Signal Process Syst 50(1):1–17CrossRef Chen YH, Chen TC, Tsai CY, Tsai SF, Chen LG (2008) Data reuse exploration for low power motion estimation architecture design in H.264 encoder. J Signal Process Syst 50(1):1–17CrossRef
14.
Zurück zum Zitat Cho S, Kim M (2013) Fast CU splitting and pruning for suboptimal CU partitioning in HEVC intra coding. IEEE Trans Circuits Syst Video Technol 23(9):1555–1564CrossRef Cho S, Kim M (2013) Fast CU splitting and pruning for suboptimal CU partitioning in HEVC intra coding. IEEE Trans Circuits Syst Video Technol 23(9):1555–1564CrossRef
15.
Zurück zum Zitat Choi K, Jang ES (2012) Early TU decision method for fast video encoding in high efficiency video coding. Electron Lett 48(12):689–691CrossRef Choi K, Jang ES (2012) Early TU decision method for fast video encoding in high efficiency video coding. Electron Lett 48(12):689–691CrossRef
16.
Zurück zum Zitat Correa G, Assuncao P, Agostini L, da Silva Cruz LA (2011) Complexity control of high efficiency video encoders for power-constrained devices. IEEE Trans Consum Electron 57(4):1866–1874CrossRef Correa G, Assuncao P, Agostini L, da Silva Cruz LA (2011) Complexity control of high efficiency video encoders for power-constrained devices. IEEE Trans Consum Electron 57(4):1866–1874CrossRef
17.
Zurück zum Zitat Davies T, Fuldseth A (2011) Entropy coding performance simulations, Joint Collaborative Team on Video Coding (JCT-VC), Document JCTVC-F162, Torino, July 2011 Davies T, Fuldseth A (2011) Entropy coding performance simulations, Joint Collaborative Team on Video Coding (JCT-VC), Document JCTVC-F162, Torino, July 2011
18.
Zurück zum Zitat Ding LF, Chen WY, Tsung PK, Chuang TD, Chiu HK, Chen YH, Hsiao PH, Chien SY, Chen TC, Lin PC, Chang CY, Chen LG (2009) A 212MPixels/s 4096x2160p multiview video encoder chip for 3D/quad HDTV applications. In: IEEE international solid-state circuits conference (ISSCC), 2009 Ding LF, Chen WY, Tsung PK, Chuang TD, Chiu HK, Chen YH, Hsiao PH, Chien SY, Chen TC, Lin PC, Chang CY, Chen LG (2009) A 212MPixels/s 4096x2160p multiview video encoder chip for 3D/quad HDTV applications. In: IEEE international solid-state circuits conference (ISSCC), 2009
19.
Zurück zum Zitat Dyer M, Taubman D, Nooshabadi S (2004) Improved throughput arithmetic coder for JPEG2000. In: IEEE international conference on image processing (ICIP), 2004 Dyer M, Taubman D, Nooshabadi S (2004) Improved throughput arithmetic coder for JPEG2000. In: IEEE international conference on image processing (ICIP), 2004
20.
Zurück zum Zitat Flordal O, Wu D, Liu D (2006) Accelerating CABAC encoding for multi-standard media with configurability. In: Proceedings of IPDPS, 2006 Flordal O, Wu D, Liu D (2006) Accelerating CABAC encoding for multi-standard media with configurability. In: Proceedings of IPDPS, 2006
21.
Zurück zum Zitat Hahm J, Kyung CM (2010) Efficient CABAC rate estimation for H.264/AVC mode decision. IEEE Trans Circuits Syst Video Technol 20(2):310–316CrossRef Hahm J, Kyung CM (2010) Efficient CABAC rate estimation for H.264/AVC mode decision. IEEE Trans Circuits Syst Video Technol 20(2):310–316CrossRef
22.
Zurück zum Zitat Hsu MY, Chang HC, Wang YC, Chen LG (2001) Scalable module-based architecture for MPEG-4 BMA motion estimation. In: IEEE international symposium on circuits and systems (ISCAS), 2001 Hsu MY, Chang HC, Wang YC, Chen LG (2001) Scalable module-based architecture for MPEG-4 BMA motion estimation. In: IEEE international symposium on circuits and systems (ISCAS), 2001
23.
Zurück zum Zitat Huang YW, Chen TC, Tsai CH, Chen CY, Chen TW, Chen CS, Shen CF, Ma SY, Wang TC, Hsieh BY, Fang HC, Chen LG (2005) A 1.3TOPS H.264/AVC single-chip encoder for HDTV applications. In: IEEE international solid-state circuits conference (ISSCC), 2005 Huang YW, Chen TC, Tsai CH, Chen CY, Chen TW, Chen CS, Shen CF, Ma SY, Wang TC, Hsieh BY, Fang HC, Chen LG (2005) A 1.3TOPS H.264/AVC single-chip encoder for HDTV applications. In: IEEE international solid-state circuits conference (ISSCC), 2005
24.
Zurück zum Zitat Huang YW, Chen CY, Tsai CH, Shen CF, Chen LG (2006) Survey on block matching motion estimation algorithms and architectures with new results. J VLSI Signal Process Syst 42(3):297–320CrossRefMATH Huang YW, Chen CY, Tsai CH, Shen CF, Chen LG (2006) Survey on block matching motion estimation algorithms and architectures with new results. J VLSI Signal Process Syst 42(3):297–320CrossRefMATH
25.
Zurück zum Zitat Kim W-S (2012) AhG6: SAO parameter estimation using non-deblocked pixels, Joint Collaborative Team on Video Coding (JCT-VC), Document JCTVC-J0139, Stockholm, July 2012 Kim W-S (2012) AhG6: SAO parameter estimation using non-deblocked pixels, Joint Collaborative Team on Video Coding (JCT-VC), Document JCTVC-J0139, Stockholm, July 2012
26.
Zurück zum Zitat Kim J, Yang J, Lee H, Jeon B (2011) Fast intra mode decision of HEVC based on hierarchical structure. In: International conference on information, communications and signal processing (ICICS), 2011 Kim J, Yang J, Lee H, Jeon B (2011) Fast intra mode decision of HEVC based on hierarchical structure. In: International conference on information, communications and signal processing (ICICS), 2011
27.
Zurück zum Zitat Kim J, Jeong S, Cho S, Choi JS (2012) Adaptive Coding Unit early termination algorithm for HEVC. In: IEEE international conference on consumer electronics (ICCE), 2012 Kim J, Jeong S, Cho S, Choi JS (2012) Adaptive Coding Unit early termination algorithm for HEVC. In: IEEE international conference on consumer electronics (ICCE), 2012
28.
Zurück zum Zitat Li F, Shi G, Wu F (2011) An efficient VLSI architecture for 4x4 intra prediction in the High Efficiency Video Coding (HEVC) standard. In: IEEE international conference on image processing (ICIP), pp 373–376, 2011 Li F, Shi G, Wu F (2011) An efficient VLSI architecture for 4x4 intra prediction in the High Efficiency Video Coding (HEVC) standard. In: IEEE international conference on image processing (ICIP), pp 373–376, 2011
29.
Zurück zum Zitat Ma S, Wang S, Wang S, Zhao L, Yu Q, Gao W (2013) Low complexity rate distortion optimization for HEVC. In: Data compression conference (DCC), 2013 Ma S, Wang S, Wang S, Zhao L, Yu Q, Gao W (2013) Low complexity rate distortion optimization for HEVC. In: Data compression conference (DCC), 2013
30.
Zurück zum Zitat McCann K, Bross B, Han WJ, Kim IK, Sugimoto K, Sullivan GJ (2013) High efficiency video coding (HEVC) test model 12 (HM 12) encoder description, Joint Collaborative Team on Video Coding (JCT-VC), Document JCTVC-N1002, Vienna, July 2013 McCann K, Bross B, Han WJ, Kim IK, Sugimoto K, Sullivan GJ (2013) High efficiency video coding (HEVC) test model 12 (HM 12) encoder description, Joint Collaborative Team on Video Coding (JCT-VC), Document JCTVC-N1002, Vienna, July 2013
31.
Zurück zum Zitat Meher PK, Park SY, Mohanty BK, Lim KS, Yeo C (2014) Efficient integer DCT architectures for HEVC. IEEE Trans Circuits Syst Video Technol 24(1):168–178CrossRef Meher PK, Park SY, Mohanty BK, Lim KS, Yeo C (2014) Efficient integer DCT architectures for HEVC. IEEE Trans Circuits Syst Video Technol 24(1):168–178CrossRef
32.
Zurück zum Zitat Osorio RR, Bruguera JD (2004) Arithmetic coding architecture for H.264/AVC CABAC compression system. In: Euromicro symposium on digital system design, 2004 Osorio RR, Bruguera JD (2004) Arithmetic coding architecture for H.264/AVC CABAC compression system. In: Euromicro symposium on digital system design, 2004
33.
Zurück zum Zitat Palomino D, Sampaio F, Agostini L, Bampi S, Susin A (2012) A memory aware and multiplierless VLSI architecture for the complete Intra Prediction of the HEVC emerging standard. In: IEEE international conference on image processing (ICIP), 2012 Palomino D, Sampaio F, Agostini L, Bampi S, Susin A (2012) A memory aware and multiplierless VLSI architecture for the complete Intra Prediction of the HEVC emerging standard. In: IEEE international conference on image processing (ICIP), 2012
34.
Zurück zum Zitat Pastuszak G (2004) A high-performance architecture of arithmetic coder in JPEG2000. In: Proceedings of ICME, 2004 Pastuszak G (2004) A high-performance architecture of arithmetic coder in JPEG2000. In: Proceedings of ICME, 2004
35.
Zurück zum Zitat Sinangil M, Sze V, Zhou M, Chandrakasan A (2013) Cost and coding efficient motion estimation design considerations for high efficiency video coding (HEVC) standard. IEEE J Sel Top Signal Process 7(6):1017–1028CrossRef Sinangil M, Sze V, Zhou M, Chandrakasan A (2013) Cost and coding efficient motion estimation design considerations for high efficiency video coding (HEVC) standard. IEEE J Sel Top Signal Process 7(6):1017–1028CrossRef
36.
Zurück zum Zitat Tsai SF, Li CT, Chen HH, Tsung PK, Chen KY, Chen LG. A 1062Mpixels/s 8192x4320p high efficiency video coding (H.265) encoder chip. In: Symposium on VLSI circuits (VLSIC), 2013 Tsai SF, Li CT, Chen HH, Tsung PK, Chen KY, Chen LG. A 1062Mpixels/s 8192x4320p high efficiency video coding (H.265) encoder chip. In: Symposium on VLSI circuits (VLSIC), 2013
37.
Zurück zum Zitat Teng SW, Hang HM, Chen YF. Fast mode decision algorithm for Residual Quadtree coding in HEVC. In: IEEE visual communications and image processing (VCIP), 2011 Teng SW, Hang HM, Chen YF. Fast mode decision algorithm for Residual Quadtree coding in HEVC. In: IEEE visual communications and image processing (VCIP), 2011
38.
Zurück zum Zitat Tuan JC, Chang TS, Jen CW (2002) On the data reuse and memory bandwidth analysis for full-search block-matching VLSI architecture. IEEE Trans Circuits Syst Video Technol 12(1): 61–72CrossRef Tuan JC, Chang TS, Jen CW (2002) On the data reuse and memory bandwidth analysis for full-search block-matching VLSI architecture. IEEE Trans Circuits Syst Video Technol 12(1): 61–72CrossRef
39.
Zurück zum Zitat Tsung PK, Chen WY, Ding LF, Tsai CY, Chuang TD, Chen LG (2009) Single-iteration full-search fractional motion estimation for quad full HD H.264/AVC encoding. In: IEEE international conference on multimedia and expo (ICME), 2009 Tsung PK, Chen WY, Ding LF, Tsai CY, Chuang TD, Chen LG (2009) Single-iteration full-search fractional motion estimation for quad full HD H.264/AVC encoding. In: IEEE international conference on multimedia and expo (ICME), 2009
40.
Zurück zum Zitat Tsung PK, Chen WY, Ding LF, Chien SY, Chen LG (2009) Cache-based integer motion/ disparity estimation for quad-HD H.264/AVC and HD multiview video coding. In: IEEE international conference acoustics, speech, and signal processing (ICASSP), 2009 Tsung PK, Chen WY, Ding LF, Chien SY, Chen LG (2009) Cache-based integer motion/ disparity estimation for quad-HD H.264/AVC and HD multiview video coding. In: IEEE international conference acoustics, speech, and signal processing (ICASSP), 2009
41.
Zurück zum Zitat Zhang J, Dai F, Ma Y, Zhang Y (2013) Highly parallel mode decision method for HEVC. In: Picture coding symposium (PCS), 2013 Zhang J, Dai F, Ma Y, Zhang Y (2013) Highly parallel mode decision method for HEVC. In: Picture coding symposium (PCS), 2013
42.
Zurück zum Zitat Zhao L, Zhang L, Ma S, Zhao D (2011) Fast mode decision algorithm for intra prediction in HEVC. In: IEEE visual communications and image processing (VCIP), 2011 Zhao L, Zhang L, Ma S, Zhao D (2011) Fast mode decision algorithm for intra prediction in HEVC. In: IEEE visual communications and image processing (VCIP), 2011
43.
Zurück zum Zitat Zhou D, He G, Fei W, Chen Z, Zhou J, Goto S (2012) A 4320p 60fps H.264/AVC intra-frame encoder chip with 1.41Gbins/s CABAC. In: IEEE symp. VLSI circuits (VLSIC), 2012 Zhou D, He G, Fei W, Chen Z, Zhou J, Goto S (2012) A 4320p 60fps H.264/AVC intra-frame encoder chip with 1.41Gbins/s CABAC. In: IEEE symp. VLSI circuits (VLSIC), 2012
44.
Zurück zum Zitat Zhou J, Zhou D, Fei W, Goto S (2013) A high-performance CABAC encoder architecture for HEVC and H.264/AVC. In: International conference on image processing (ICIP), 2013 Zhou J, Zhou D, Fei W, Goto S (2013) A high-performance CABAC encoder architecture for HEVC and H.264/AVC. In: International conference on image processing (ICIP), 2013
45.
Zurück zum Zitat Zhu J, Liu Z, Wang D (2013) Fully pipelined DCT/IDCT/Hadamard unified transform architecture for HEVC Codec. In: IEEE international symposium on circuits and systems (ISCAS), 2013 Zhu J, Liu Z, Wang D (2013) Fully pipelined DCT/IDCT/Hadamard unified transform architecture for HEVC Codec. In: IEEE international symposium on circuits and systems (ISCAS), 2013
Metadaten
Titel
Encoder Hardware Architecture for HEVC
verfasst von
Sung-Fang Tsai
Cheng-Han Tsai
Liang-Gee Chen
Copyright-Jahr
2014
DOI
https://doi.org/10.1007/978-3-319-06895-4_11

Neuer Inhalt