Skip to main content
Erschienen in: Computing 11/2014

01.11.2014

Improved scheduler for multi-core many-core systems

verfasst von: Neetesh Kumar, Deo Prakash Vidyarthi

Erschienen in: Computing | Ausgabe 11/2014

Einloggen

Aktivieren Sie unsere intelligente Suche, um passende Fachinhalte oder Patente zu finden.

search-config
loading …

Abstract

Over the years, presence of heterogeneous system has dominated the area of concurrent job execution. Heterogeneous system is the natural choice as it can be designed with the legacy system. Scheduling, on such systems, is an important activity as it affects the job execution characteristic. Heterogeneity introduces many challenges for the efficient job execution. Heterogeneity in core architecture introduces the possibility of heterogeneous memory architecture in many/multi core heterogeneous system. This makes it often impossible to determine for the same instruction if a high frequency core has low or high memory latency in comparison to the low frequency core and vice-versa. The work proposes an improved scheduler for such systems in which both core and memory are heterogeneous. It defines average effective time (\(\hbox {AE}_\mathrm{t}\)) as the base parameter for this purpose. Priorities of each thread (workload) and the core are dynamically generated using \(\hbox {AE}_\mathrm{t}\) for effective mapping. Experimental results, on the benchmark data, reveal that the proposed scheduler performs much better in terms of cores utilization, speedup and efficiency in comparison to other similar models.

Sie haben noch keine Lizenz? Dann Informieren Sie sich jetzt über unsere Produkte:

Springer Professional "Wirtschaft"

Online-Abonnement

Mit Springer Professional "Wirtschaft" erhalten Sie Zugriff auf:

  • über 67.000 Bücher
  • über 340 Zeitschriften

aus folgenden Fachgebieten:

  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Finance + Banking
  • Management + Führung
  • Marketing + Vertrieb
  • Versicherung + Risiko




Jetzt Wissensvorsprung sichern!

Springer Professional "Technik"

Online-Abonnement

Mit Springer Professional "Technik" erhalten Sie Zugriff auf:

  • über 67.000 Bücher
  • über 390 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Maschinenbau + Werkstoffe




 

Jetzt Wissensvorsprung sichern!

Springer Professional "Wirtschaft+Technik"

Online-Abonnement

Mit Springer Professional "Wirtschaft+Technik" erhalten Sie Zugriff auf:

  • über 102.000 Bücher
  • über 537 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Finance + Banking
  • Management + Führung
  • Marketing + Vertrieb
  • Maschinenbau + Werkstoffe
  • Versicherung + Risiko

Jetzt Wissensvorsprung sichern!

Literatur
1.
Zurück zum Zitat Kyriazis G (2012) Heterogeneous system architecture: a technical review. AMD Rev 1.0, 30 Aug 2012 Kyriazis G (2012) Heterogeneous system architecture: a technical review. AMD Rev 1.0, 30 Aug 2012
2.
Zurück zum Zitat Bajaj R, Agrawal DP (2004) Improving scheduling of tasks in a heterogeneous environment. IEEE Trans Parallel Distrib Computi 15(2):107–118 Bajaj R, Agrawal DP (2004) Improving scheduling of tasks in a heterogeneous environment. IEEE Trans Parallel Distrib Computi 15(2):107–118
3.
Zurück zum Zitat Nie P, Duan Z (2012) Efficient and scalable scheduling for performance heterogeneous multicore systems. J. Parallel Distrib. Comput. 72:353–361CrossRef Nie P, Duan Z (2012) Efficient and scalable scheduling for performance heterogeneous multicore systems. J. Parallel Distrib. Comput. 72:353–361CrossRef
4.
Zurück zum Zitat Hwang Kai (2008) Advance computer architecture, parallelism, scalability, programmability. Tata Mc-Graw Hill Edition Inc., New York Hwang Kai (2008) Advance computer architecture, parallelism, scalability, programmability. Tata Mc-Graw Hill Edition Inc., New York
5.
Zurück zum Zitat Hennessy JL, Patterson DA, Arpaci-Dusseau AC (2007) Computer architecture: a quantitative approach, vol 1. Morgan Kaufmann, Burlington Hennessy JL, Patterson DA, Arpaci-Dusseau AC (2007) Computer architecture: a quantitative approach, vol 1. Morgan Kaufmann, Burlington
6.
Zurück zum Zitat Balakrishnan S, Rajwar R, Upton M, Lai K (2005) The impact of performance asymmetry in emerging multicore architectures. In: Proceedings of the 32nd international symposium on computer architecture (ISCA05), pp 506–517 Balakrishnan S, Rajwar R, Upton M, Lai K (2005) The impact of performance asymmetry in emerging multicore architectures. In: Proceedings of the 32nd international symposium on computer architecture (ISCA05), pp 506–517
7.
Zurück zum Zitat Becchi M, Crowley P (2005) Dynamic thread assignment on heterogeneous multicore architectures. In: Proceedings of the 32nd Annual International Symposium on Computer Architecture (ISCA’05), pp 29–40 Becchi M, Crowley P (2005) Dynamic thread assignment on heterogeneous multicore architectures. In: Proceedings of the 32nd Annual International Symposium on Computer Architecture (ISCA’05), pp 29–40
8.
Zurück zum Zitat Kumar R, Tullsen DM, Jouppi NP, Ranganathan P (2005) Heterogeneous chip multicores. Computer pp 32–38 Kumar R, Tullsen DM, Jouppi NP, Ranganathan P (2005) Heterogeneous chip multicores. Computer pp 32–38
9.
Zurück zum Zitat Li T, Baumberger D, Koufaty DA, Hahn S (2007) Efficient operating system scheduling for performance-asymmetric multi-core architectures. In: Proceedings of the 2007 ACM/IEEE conference on supercomputing (SC’07) Li T, Baumberger D, Koufaty DA, Hahn S (2007) Efficient operating system scheduling for performance-asymmetric multi-core architectures. In: Proceedings of the 2007 ACM/IEEE conference on supercomputing (SC’07)
10.
Zurück zum Zitat Shelepov D, Saez JC, Jeffery S, Fedorova A, Perez N, Huang ZF, Blagodurov S, Kumar V (2009) HASS: a scheduler for heterogeneous multicore systems. Oper Syst Rev 43:66–75CrossRef Shelepov D, Saez JC, Jeffery S, Fedorova A, Perez N, Huang ZF, Blagodurov S, Kumar V (2009) HASS: a scheduler for heterogeneous multicore systems. Oper Syst Rev 43:66–75CrossRef
11.
Zurück zum Zitat Teodorescu R, Torrellas J (2008) Variation-aware application scheduling and power management for chip multicores. In: Proceedings of the 35th international symposium on computer architecture (ISCA’08), pp 363–374 Teodorescu R, Torrellas J (2008) Variation-aware application scheduling and power management for chip multicores. In: Proceedings of the 35th international symposium on computer architecture (ISCA’08), pp 363–374
13.
Zurück zum Zitat Shelepov D, Fedorova A (2008) Scheduling on heterogeneous multicore cores using architectural signatures. In: Proceedings of the workshop on the interaction between operating systems and computer architecture, in conjunction with the 35th international symposium on computer architecture (WIOSCA’08). http://www.ideal.ece.ufl.edu/workshops/wiosca08. Accessed 15 Oct 2012 Shelepov D, Fedorova A (2008) Scheduling on heterogeneous multicore cores using architectural signatures. In: Proceedings of the workshop on the interaction between operating systems and computer architecture, in conjunction with the 35th international symposium on computer architecture (WIOSCA’08). http://​www.​ideal.​ece.​ufl.​edu/​workshops/​wiosca08. Accessed 15 Oct 2012
14.
Zurück zum Zitat Van Craeynest K, Jaleel A, Eeckhout L, Narvaez P, Emer J Scheduling heterogeneous multi-cores through performance impact estimation (PIE). In: Proceedings of the 39th annual international symposium on computer architecture (ISCA ’12), pp 213–224 Van Craeynest K, Jaleel A, Eeckhout L, Narvaez P, Emer J Scheduling heterogeneous multi-cores through performance impact estimation (PIE). In: Proceedings of the 39th annual international symposium on computer architecture (ISCA ’12), pp 213–224
15.
Zurück zum Zitat Kumar R, Tullsen DM, Ranganathan P, Jouppi NP, Farkas KI (2004) Single- ISA heterogeneous multi-core architectures for multithreaded workload performance. In: Proceedings of the 31st annual international symposium on computer architecture (ISCA’04), pp 64–75 Kumar R, Tullsen DM, Ranganathan P, Jouppi NP, Farkas KI (2004) Single- ISA heterogeneous multi-core architectures for multithreaded workload performance. In: Proceedings of the 31st annual international symposium on computer architecture (ISCA’04), pp 64–75
Metadaten
Titel
Improved scheduler for multi-core many-core systems
verfasst von
Neetesh Kumar
Deo Prakash Vidyarthi
Publikationsdatum
01.11.2014
Verlag
Springer Vienna
Erschienen in
Computing / Ausgabe 11/2014
Print ISSN: 0010-485X
Elektronische ISSN: 1436-5057
DOI
https://doi.org/10.1007/s00607-014-0420-y

Weitere Artikel der Ausgabe 11/2014

Computing 11/2014 Zur Ausgabe

Premium Partner