Skip to main content

2019 | OriginalPaper | Buchkapitel

Intelligent Online Configuration for DVFS Multiprocessor Architecture: Fuzzy Approach

Aktivieren Sie unsere intelligente Suche, um passende Fachinhalte oder Patente zu finden.

search-config
loading …

Abstract

The use of fuzzy logic to generate optimal actions for hardware architecture reconfiguration offers flexible and efficient solutions. In this paper, a new fuzzy approach is proposed in order to guarantee the balance between real time periodic application schedulability and energy consumption optimization under multi-core architecture. Dynamic voltage/frequency scaling (DVFS) has been a key technique in exploiting the processors configurable characteristics. However, for large class of applications in embedded real time systems, the variable operating frequency interferes with tasks deadline respect. The problem is seen as multi-criteria multi-objective decision making issue with dependent criteria. The approach calculates, in offline mode and in online mode, the optimal number of activated homogenous cores and their frequency. Simulated and tested on periodic task sets generated with different system charges, the proposed intelligent technique is support decision system that shows significant results.

Sie haben noch keine Lizenz? Dann Informieren Sie sich jetzt über unsere Produkte:

Springer Professional "Wirtschaft+Technik"

Online-Abonnement

Mit Springer Professional "Wirtschaft+Technik" erhalten Sie Zugriff auf:

  • über 102.000 Bücher
  • über 537 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Finance + Banking
  • Management + Führung
  • Marketing + Vertrieb
  • Maschinenbau + Werkstoffe
  • Versicherung + Risiko

Jetzt Wissensvorsprung sichern!

Springer Professional "Technik"

Online-Abonnement

Mit Springer Professional "Technik" erhalten Sie Zugriff auf:

  • über 67.000 Bücher
  • über 390 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Maschinenbau + Werkstoffe




 

Jetzt Wissensvorsprung sichern!

Springer Professional "Wirtschaft"

Online-Abonnement

Mit Springer Professional "Wirtschaft" erhalten Sie Zugriff auf:

  • über 67.000 Bücher
  • über 340 Zeitschriften

aus folgenden Fachgebieten:

  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Finance + Banking
  • Management + Führung
  • Marketing + Vertrieb
  • Versicherung + Risiko




Jetzt Wissensvorsprung sichern!

Literatur
1.
Zurück zum Zitat Pomerol, J.: Artificial intelligence and human decision making. Eur. J. Oper. Res. 2(99), 3–25 (1997)CrossRef Pomerol, J.: Artificial intelligence and human decision making. Eur. J. Oper. Res. 2(99), 3–25 (1997)CrossRef
2.
Zurück zum Zitat Nazmul, H., Alam Hossain, Md., Fayezul, I., Priyanka, B., Tahira, Y.: Research on energy efficiency in cloud computing. Int. J. Sci. Eng. Res. 7(8), 358–367 (2016) Nazmul, H., Alam Hossain, Md., Fayezul, I., Priyanka, B., Tahira, Y.: Research on energy efficiency in cloud computing. Int. J. Sci. Eng. Res. 7(8), 358–367 (2016)
3.
Zurück zum Zitat Char, J.C., Fakhfakh, A., Couterrier, R., Glerch, A.: Dynamic frequency scaling for energy consumption reduction in synchronous distributed applications. In: 13th IEEE International Symposium on Parallel and Distributed Processing with Applications. IEEE (2016) Char, J.C., Fakhfakh, A., Couterrier, R., Glerch, A.: Dynamic frequency scaling for energy consumption reduction in synchronous distributed applications. In: 13th IEEE International Symposium on Parallel and Distributed Processing with Applications. IEEE (2016)
4.
Zurück zum Zitat Qadri, M.Y., Qadri, N.N., McDonald-Maier, K.D.: Fuzzy logic based energy and throughput aware design space exploration for MPSoCs. Microprocess. Microsyst. 3(2), 68–73 (2015) Qadri, M.Y., Qadri, N.N., McDonald-Maier, K.D.: Fuzzy logic based energy and throughput aware design space exploration for MPSoCs. Microprocess. Microsyst. 3(2), 68–73 (2015)
5.
Zurück zum Zitat Qadri, M.Y., McDonald-Maier, K.D., Qadri, N.N.: Energy and throughputs aware fuzzy logic based reconfiguration for MPSoC. J. Intell. Fuzzy Syst. 3(2), 68–73 (2014) Qadri, M.Y., McDonald-Maier, K.D., Qadri, N.N.: Energy and throughputs aware fuzzy logic based reconfiguration for MPSoC. J. Intell. Fuzzy Syst. 3(2), 68–73 (2014)
6.
Zurück zum Zitat Fakhfakh, M.M.: Energy consumption optimization of parallel applications with iterations using CPU frequency scaling. Thesis (2016) Fakhfakh, M.M.: Energy consumption optimization of parallel applications with iterations using CPU frequency scaling. Thesis (2016)
7.
Zurück zum Zitat Rauber, T., Runger, G., Schwind, M., Xu, M., Melzner, S.: Energy measurement, modeling, and prediction for processors with frequency scaling. J. Supercomput. 70(3), 1451–1476 (2014)CrossRef Rauber, T., Runger, G., Schwind, M., Xu, M., Melzner, S.: Energy measurement, modeling, and prediction for processors with frequency scaling. J. Supercomput. 70(3), 1451–1476 (2014)CrossRef
8.
Zurück zum Zitat Rountree, B., Lowenthal, D., Funk, S., Freeh, V.W., De Supinski, B., Schulz, M.: Bounding energy consumption in large-scale MPI programs. In: Proceedings of the 2007 ACM/IEEE Conference on, pp. 1–9 (2007) Rountree, B., Lowenthal, D., Funk, S., Freeh, V.W., De Supinski, B., Schulz, M.: Bounding energy consumption in large-scale MPI programs. In: Proceedings of the 2007 ACM/IEEE Conference on, pp. 1–9 (2007)
9.
Zurück zum Zitat Cochran, R., Hankendi, C., Coskun, A., Reda, S.,: Identifying the optimal energy-efficient operating points of parallel workloads. In: Proceedings of the International Conference on Computer-Aided Design, ICCAD 2011, IEEE Press, NJ, pp. 608–615 (2011) Cochran, R., Hankendi, C., Coskun, A., Reda, S.,: Identifying the optimal energy-efficient operating points of parallel workloads. In: Proceedings of the International Conference on Computer-Aided Design, ICCAD 2011, IEEE Press, NJ, pp. 608–615 (2011)
11.
Zurück zum Zitat Da Rosa, T.D., Larrea, V., Calazans, N., Gehm-Moraes, F.: Power consumption reduction in MPSoCs through DFS. In: SBCCI, pp. 1–6 (2012) Da Rosa, T.D., Larrea, V., Calazans, N., Gehm-Moraes, F.: Power consumption reduction in MPSoCs through DFS. In: SBCCI, pp. 1–6 (2012)
12.
Zurück zum Zitat Parain, F., Banâtre, M., Cabillic, G., Higuera-Toledano, T., Issarny, V.: Lesot: Techniques de réduction de la consommation dans un système embarqué temps réel. Technique et Science Informatiques 20(10), 1247–1278 (2001) Parain, F., Banâtre, M., Cabillic, G., Higuera-Toledano, T., Issarny, V.: Lesot: Techniques de réduction de la consommation dans un système embarqué temps réel. Technique et Science Informatiques 20(10), 1247–1278 (2001)
13.
Zurück zum Zitat Navet, N., Grajar, B.: Systemés temps réel, Hermes (2006) Navet, N., Grajar, B.: Systemés temps réel, Hermes (2006)
14.
Zurück zum Zitat Baker, T.P., Cirinei, M.: A necessary and sometimes sufficient condition for the feasibility of sets of sporadic hard-deadline tasks. In: Proceedings of IEEE Real-Time Systems Symposium (RTSS), pp 178–190. IEEE Press (2006) Baker, T.P., Cirinei, M.: A necessary and sometimes sufficient condition for the feasibility of sets of sporadic hard-deadline tasks. In: Proceedings of IEEE Real-Time Systems Symposium (RTSS), pp 178–190. IEEE Press (2006)
15.
Zurück zum Zitat Jing, L., Luo, Z., Ferry, D., Agrawal, K., Gill, C., Lu, C.: Global EDF scheduling for parallel real time tasks. Real-Time Syst. 51(4), 395–439 (2015)CrossRef Jing, L., Luo, Z., Ferry, D., Agrawal, K., Gill, C., Lu, C.: Global EDF scheduling for parallel real time tasks. Real-Time Syst. 51(4), 395–439 (2015)CrossRef
16.
Zurück zum Zitat Ibrahim, A.: Fuzzy Logic for Embedded Systems Applications. Butterworth, Heinemann, Newton (2003) Ibrahim, A.: Fuzzy Logic for Embedded Systems Applications. Butterworth, Heinemann, Newton (2003)
17.
Zurück zum Zitat Najar, Y., Ben Ahmed, S.: Fuzzy multiprocessor architecture reconfiguration based on dynamic frequency scaling. In: Proceedings of ISKE, pp. 761–767. IEEE Press (2017) Najar, Y., Ben Ahmed, S.: Fuzzy multiprocessor architecture reconfiguration based on dynamic frequency scaling. In: Proceedings of ISKE, pp. 761–767. IEEE Press (2017)
18.
19.
Zurück zum Zitat Kickert, W.: Fuzzy Theories on Decision Making: A Critical Review. Frontiers in System Research. Springer, Heidelberg (1979)MATH Kickert, W.: Fuzzy Theories on Decision Making: A Critical Review. Frontiers in System Research. Springer, Heidelberg (1979)MATH
20.
Zurück zum Zitat IEC, International Standard: Programmable Controllers – Part 7: Fuzzy Control Programming, International Electrotechnical Commission, Geneva, Switzerland, iEC Standard (2000) IEC, International Standard: Programmable Controllers – Part 7: Fuzzy Control Programming, International Electrotechnical Commission, Geneva, Switzerland, iEC Standard (2000)
21.
Zurück zum Zitat Intel. Embedded Ultra-Low Power Intel486 GX Processor. Datasheet, Intel Intel. Embedded Ultra-Low Power Intel486 GX Processor. Datasheet, Intel
22.
Zurück zum Zitat Chetto, M.: Ordonnancement dans les systèmes temps réel: optimisation de la consommation énergétique. ISTE éditions (2014) Chetto, M.: Ordonnancement dans les systèmes temps réel: optimisation de la consommation énergétique. ISTE éditions (2014)
23.
Zurück zum Zitat Reza P.H., Echeverri, E.J., Pineda, G.: Synthesis and VHDL implementation of fuzzy logic controller for dynamic voltage and frequency scaling (DVFS) goals in digital processors. In: Fuzzy Logic - Controls, Concepts, Theories and Applications (2012) Reza P.H., Echeverri, E.J., Pineda, G.: Synthesis and VHDL implementation of fuzzy logic controller for dynamic voltage and frequency scaling (DVFS) goals in digital processors. In: Fuzzy Logic - Controls, Concepts, Theories and Applications (2012)
24.
Zurück zum Zitat Shen, H., Lu, H., Qiu, Q.: Learning based DVFS for simultaneous temperature, performance and energy management. In: ISQED (2012) Shen, H., Lu, H., Qiu, Q.: Learning based DVFS for simultaneous temperature, performance and energy management. In: ISQED (2012)
25.
Zurück zum Zitat Gaurav, D., Tajana, S.R.: Dynamic voltage frequency scaling for multi-tasking systems using online learning. In: ISLPED 2007, Portland, Oregon, USA, pp. 207–212 (2007) Gaurav, D., Tajana, S.R.: Dynamic voltage frequency scaling for multi-tasking systems using online learning. In: ISLPED 2007, Portland, Oregon, USA, pp. 207–212 (2007)
26.
Zurück zum Zitat Shaheryar, N., Jameel, A.: Real-time implementation of fuzzy logic based DVFS for Leon3 architecture. Asian J. Eng. Sci. Technol. 8(1) (2018) Shaheryar, N., Jameel, A.: Real-time implementation of fuzzy logic based DVFS for Leon3 architecture. Asian J. Eng. Sci. Technol. 8(1) (2018)
28.
Zurück zum Zitat Carlsson, C., Fullér, R.: Fuzzy multiple criteria decision making: recent developments. Fuzzy Sets Syst. 78, 139–153 (2001)MathSciNetCrossRef Carlsson, C., Fullér, R.: Fuzzy multiple criteria decision making: recent developments. Fuzzy Sets Syst. 78, 139–153 (2001)MathSciNetCrossRef
Metadaten
Titel
Intelligent Online Configuration for DVFS Multiprocessor Architecture: Fuzzy Approach
verfasst von
Najar Yousra
Ben Ahmed Samir
Copyright-Jahr
2019
DOI
https://doi.org/10.1007/978-3-030-22999-3_53

Premium Partner