Skip to main content
Erschienen in:
Buchtitelbild

2013 | OriginalPaper | Buchkapitel

1. Introduction to SRAM

verfasst von : Jawar Singh, Saraju P. Mohanty, Dhiraj K. Pradhan

Erschienen in: Robust SRAM Designs and Analysis

Verlag: Springer New York

Aktivieren Sie unsere intelligente Suche, um passende Fachinhalte oder Patente zu finden.

search-config
loading …

Abstract

The trend of Static Random Access Memory (SRAM) along with CMOS technology scaling in different processors and system-on-chip (SoC) products has fuelled the need of innovation in the area of SRAM design. SRAM bitcells are made of minimum geometry devices for high density and to keep the pace with CMOS technology scaling, as a result, they are the first to suffer from technology scaling induced side-effects. At the same time, success of next generation technology depends on the successful realization of SRAM. Therefore, different SRAM bitcell topologies and array architectures have been proposed in the recent past to meet the nano-regime challenges. Some of the major challenges in SRAM design includes poor stability, process variation tolerance, device degradation due to ageing and soft errors. In this chapter, introduction and importance of SRAM in memory hierarchy of a modern computer system and its peripheral circuitries have been presented. Different SRAM bitcell topologies and their merits and de-merits are also highlighted.

Sie haben noch keine Lizenz? Dann Informieren Sie sich jetzt über unsere Produkte:

Springer Professional "Wirtschaft+Technik"

Online-Abonnement

Mit Springer Professional "Wirtschaft+Technik" erhalten Sie Zugriff auf:

  • über 102.000 Bücher
  • über 537 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Finance + Banking
  • Management + Führung
  • Marketing + Vertrieb
  • Maschinenbau + Werkstoffe
  • Versicherung + Risiko

Jetzt Wissensvorsprung sichern!

Springer Professional "Technik"

Online-Abonnement

Mit Springer Professional "Technik" erhalten Sie Zugriff auf:

  • über 67.000 Bücher
  • über 390 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Maschinenbau + Werkstoffe




 

Jetzt Wissensvorsprung sichern!

Literatur
4.
Zurück zum Zitat Aly, R., Bayoumi, M.: Low-power cache design using 7T SRAM cell. IEEE Trans. Circuit Syst. II. Express Briefs 54(4), 318–322 (2007)CrossRef Aly, R., Bayoumi, M.: Low-power cache design using 7T SRAM cell. IEEE Trans. Circuit Syst. II. Express Briefs 54(4), 318–322 (2007)CrossRef
5.
Zurück zum Zitat Amelifard, B., Fallah, F., Pedram, M.: Leakage minimization of SRAM cells in a dual- and dual- technology. IEEE Trans. Very Large Scale Integr. Syst. 16(7), 851–860 (2008). doi:10.1109/TVLSI.2008.2000459 Amelifard, B., Fallah, F., Pedram, M.: Leakage minimization of SRAM cells in a dual- and dual- technology. IEEE Trans. Very Large Scale Integr. Syst. 16(7), 851–860 (2008). doi:10.​1109/​TVLSI.​2008.​2000459
10.
Zurück zum Zitat Bhavnagarwala, A.J., Tang, X., Meindl, J.D.: The impact of intrinsic device fluctuations on CMOS SRAM cell stability. IEEE J. Solid-State Circuit 36, 658–665 (2001)CrossRef Bhavnagarwala, A.J., Tang, X., Meindl, J.D.: The impact of intrinsic device fluctuations on CMOS SRAM cell stability. IEEE J. Solid-State Circuit 36, 658–665 (2001)CrossRef
18.
Zurück zum Zitat Calhoun, B.H., Chandrakasan, A.P.: A 256-kb 65-nm sub-threshold SRAM design for ultralow-voltage operation. IEEE J. Solid-State Circuit 42(3), 680–688 (2007)CrossRef Calhoun, B.H., Chandrakasan, A.P.: A 256-kb 65-nm sub-threshold SRAM design for ultralow-voltage operation. IEEE J. Solid-State Circuit 42(3), 680–688 (2007)CrossRef
19.
Zurück zum Zitat Calhoun, B., Daly, D., Verma, N., Finchelstein, D., Wentzloff, D., Wang, A., Cho, S.H., Chandrakasan, A.: Design considerations for ultra-low energy wireless microsensor nodes. IEEE Trans. Comput. 54(6), 727–740 (2005). doi:10.1109/TC.2005.98 CrossRef Calhoun, B., Daly, D., Verma, N., Finchelstein, D., Wentzloff, D., Wang, A., Cho, S.H., Chandrakasan, A.: Design considerations for ultra-low energy wireless microsensor nodes. IEEE Trans. Comput. 54(6), 727–740 (2005). doi:10.​1109/​TC.​2005.​98 CrossRef
20.
Zurück zum Zitat Carlson, I., Andersson, S., Natarajan, S., Alvandpour, A.: A high density, low leakage, 5T SRAM for embedded caches. In: Proceeding of the 30th European Solid-State Circuits Conference, ESSCIRC 2004, Leuven, pp. 215–218 (2004) Carlson, I., Andersson, S., Natarajan, S., Alvandpour, A.: A high density, low leakage, 5T SRAM for embedded caches. In: Proceeding of the 30th European Solid-State Circuits Conference, ESSCIRC 2004, Leuven, pp. 215–218 (2004)
23.
Zurück zum Zitat Chang, L., Fried, D., Hergenrother, J., Sleight, J., Dennard, R., Montoye, R., Sekaric, L., McNab, S., Topol, A., Adams, C., Guarini, K., Haensch, W.: Stable SRAM cell design for the 32 nm node and beyond. In: Symposium on VLSI Technology, 2005. Digest of Technical Papers, Kyoto, pp. 128–129. 14–16 June 2005 Chang, L., Fried, D., Hergenrother, J., Sleight, J., Dennard, R., Montoye, R., Sekaric, L., McNab, S., Topol, A., Adams, C., Guarini, K., Haensch, W.: Stable SRAM cell design for the 32 nm node and beyond. In: Symposium on VLSI Technology, 2005. Digest of Technical Papers, Kyoto, pp. 128–129. 14–16 June 2005
24.
Zurück zum Zitat Chang, L., Nakamura, Y., Montoye, R., Sawada, J., Martin, A., Kinoshita, K., Gebara, F., Agarwal, K., Acharyya, D., Haensch, W., Hosokawa, K., Jamsek, D.: A 5.3 ghz 8T-SRAM with operation down to 0.41 v in 65 nm CMOS. In: IEEE Symposium on VLSI Circuits, 2007, Kyoto, pp. 252–253 (2007) Chang, L., Nakamura, Y., Montoye, R., Sawada, J., Martin, A., Kinoshita, K., Gebara, F., Agarwal, K., Acharyya, D., Haensch, W., Hosokawa, K., Jamsek, D.: A 5.3 ghz 8T-SRAM with operation down to 0.41 v in 65 nm CMOS. In: IEEE Symposium on VLSI Circuits, 2007, Kyoto, pp. 252–253 (2007)
25.
Zurück zum Zitat Chang, L., Montoye, R., Nakamura, Y., Batson, K., Eickemeyer, R., Dennard, R., Haensch, W., Jamsek, D.: An 8T-SRAM for variability tolerance and low-voltage operation in high-performance caches. IEEE J. Solid-State Circuit 43(4), 956–963 (2008)CrossRef Chang, L., Montoye, R., Nakamura, Y., Batson, K., Eickemeyer, R., Dennard, R., Haensch, W., Jamsek, D.: An 8T-SRAM for variability tolerance and low-voltage operation in high-performance caches. IEEE J. Solid-State Circuit 43(4), 956–963 (2008)CrossRef
29.
Zurück zum Zitat Cragon, H.G.: Memory Systems and Pipelined Processors, Chapter 1. Jones and Barlett, Sudbury (1996) Cragon, H.G.: Memory Systems and Pipelined Processors, Chapter 1. Jones and Barlett, Sudbury (1996)
30.
Zurück zum Zitat Dennard, R.H.: Field-effect transistor memory. US Patent No. 3387286 (1968) Dennard, R.H.: Field-effect transistor memory. US Patent No. 3387286 (1968)
42.
Zurück zum Zitat Hennessy, J.L., Patterson, D.: Computer Architecture: A Quantitative Approach, Chapter 5. Morgan Kaufman, San Francisco (2006) Hennessy, J.L., Patterson, D.: Computer Architecture: A Quantitative Approach, Chapter 5. Morgan Kaufman, San Francisco (2006)
43.
Zurück zum Zitat Hirose, T., Kuriyama, H., Murakami, S., Yuzuriha, K., Mukai, T., Tsutsumi, K., Nishimura, Y., Kohno, Y., Anami, K.: A 20 ns 4 mb CMOS SRAM with hierarchical word decoding architecture. In: IEEE International Solid-State Circuits Conference, Digest of Technical Papers. 37th ISSCC 1990, pp. 132–133 (1990). doi:10.1109/ISSCC.1990.110162 Hirose, T., Kuriyama, H., Murakami, S., Yuzuriha, K., Mukai, T., Tsutsumi, K., Nishimura, Y., Kohno, Y., Anami, K.: A 20 ns 4 mb CMOS SRAM with hierarchical word decoding architecture. In: IEEE International Solid-State Circuits Conference, Digest of Technical Papers. 37th ISSCC 1990, pp. 132–133 (1990). doi:10.​1109/​ISSCC.​1990.​110162
44.
Zurück zum Zitat Hobson, R.: A new single-ended SRAM cell with write-assist. IEEE Trans. Very Large Scale Integr. Syst. 15(2), 173–181 (2007)CrossRef Hobson, R.: A new single-ended SRAM cell with write-assist. IEEE Trans. Very Large Scale Integr. Syst. 15(2), 173–181 (2007)CrossRef
53.
Zurück zum Zitat Kawaguchi, H., Kanda, K., Nose, K., Hattori, S., Dwi, D., Antono, D., Yamada, D., Miyazaki, T., Inagaki, K., Hiramoto, T., Sakurai, T.: A 0.5 v, 400 mhz, v00-hopping processor with zero-vth fd-soi technology. In: IEEE International Solid-State Circuits Conference, Digest of Technical Papers. ISSCC, 2003, vol. 1, pp. 106–481 (2003). doi:10.1109/ISSCC.2003.1234227 Kawaguchi, H., Kanda, K., Nose, K., Hattori, S., Dwi, D., Antono, D., Yamada, D., Miyazaki, T., Inagaki, K., Hiramoto, T., Sakurai, T.: A 0.5 v, 400 mhz, v00-hopping processor with zero-vth fd-soi technology. In: IEEE International Solid-State Circuits Conference, Digest of Technical Papers. ISSCC, 2003, vol. 1, pp. 106–481 (2003). doi:10.​1109/​ISSCC.​2003.​1234227
58.
Zurück zum Zitat Kim, T.H., Liu, J., Keane, J., Kim, C.: A 0.2 v, 480 kb subthreshold SRAM with 1 k cells per bitline for ultra-low-voltage computing. IEEE J. Solid-State Circuit 43(2), 518–529 (2008) Kim, T.H., Liu, J., Keane, J., Kim, C.: A 0.2 v, 480 kb subthreshold SRAM with 1 k cells per bitline for ultra-low-voltage computing. IEEE J. Solid-State Circuit 43(2), 518–529 (2008)
63.
Zurück zum Zitat Kulkarni, J., Kim, K., Roy, K.: A 160 mv robust schmitt trigger based subthreshold SRAM. IEEE J. Solid-State Circuit 42(10), 2303–2313 (2007)CrossRef Kulkarni, J., Kim, K., Roy, K.: A 160 mv robust schmitt trigger based subthreshold SRAM. IEEE J. Solid-State Circuit 42(10), 2303–2313 (2007)CrossRef
66.
Zurück zum Zitat Lee, S., Sakurai, T.: Run-time voltage hopping for low-power real-time systems. In: Proceedings of the 37th Design Automation Conference 2000, Los Angeles, pp. 806–809 (2000) Lee, S., Sakurai, T.: Run-time voltage hopping for low-power real-time systems. In: Proceedings of the 37th Design Automation Conference 2000, Los Angeles, pp. 806–809 (2000)
73.
Zurück zum Zitat Liu, Z., Kursun, V.: Characterization of a novel nine-transistor SRAM cell. IEEE Trans. Very Large Scale Integr. Syst. 16(4), 488–492 (2008)CrossRef Liu, Z., Kursun, V.: Characterization of a novel nine-transistor SRAM cell. IEEE Trans. Very Large Scale Integr. Syst. 16(4), 488–492 (2008)CrossRef
75.
Zurück zum Zitat Mahmoodi, H., Mukhopadhyay, S., Roy, K.: Estimation of delay variations due to random-dopant fluctuations in nanoscale CMOS circuits. IEEE J. Solid-State Circuit 40(9), 1787–1796 (2005)CrossRef Mahmoodi, H., Mukhopadhyay, S., Roy, K.: Estimation of delay variations due to random-dopant fluctuations in nanoscale CMOS circuits. IEEE J. Solid-State Circuit 40(9), 1787–1796 (2005)CrossRef
80.
Zurück zum Zitat Moore, G.: Cramming more components onto integrated circuits. Electronics 38(8), 534–539 (1965) Moore, G.: Cramming more components onto integrated circuits. Electronics 38(8), 534–539 (1965)
84.
Zurück zum Zitat Ohbayashi, S., Yabuuchi, M., Nii, K., Tsukamoto, Y., Imaoka, S., Oda, Y., Yoshihara, T., Igarashi, M., Takeuchi, M., Kawashima, H., Yamaguchi, Y., Tsukamoto, K., Inuishi, M., Makino, H., Ishibashi, K., Shinohara, H.: A 65-nm soc embedded 6T-SRAM designed for manufacturability with read and write operation stabilizing circuits. IEEE J. Solid-State Circuit 42(4), 820–829 (2007)CrossRef Ohbayashi, S., Yabuuchi, M., Nii, K., Tsukamoto, Y., Imaoka, S., Oda, Y., Yoshihara, T., Igarashi, M., Takeuchi, M., Kawashima, H., Yamaguchi, Y., Tsukamoto, K., Inuishi, M., Makino, H., Ishibashi, K., Shinohara, H.: A 65-nm soc embedded 6T-SRAM designed for manufacturability with read and write operation stabilizing circuits. IEEE J. Solid-State Circuit 42(4), 820–829 (2007)CrossRef
86.
Zurück zum Zitat Patterson, D.A., Hennessy, J.L.: Computer Architecture: A Quantitative Approach. Morgan Kaufmann Publishers Inc., San Mateo (1990) Patterson, D.A., Hennessy, J.L.: Computer Architecture: A Quantitative Approach. Morgan Kaufmann Publishers Inc., San Mateo (1990)
100.
Zurück zum Zitat Suzuki, T., Yamagami, Y., Hatanaka, I., Shibayama, A., Akamatsu, H., Yamauchi, H.: A sub-0.5-v operating embedded SRAM featuring a multi-bit-error-immune hidden-ecc scheme. IEEE J. Solid-State Circuit 41(1), 152–160 (2006). doi:10.1109/JSSC.2005.859029 Suzuki, T., Yamagami, Y., Hatanaka, I., Shibayama, A., Akamatsu, H., Yamauchi, H.: A sub-0.5-v operating embedded SRAM featuring a multi-bit-error-immune hidden-ecc scheme. IEEE J. Solid-State Circuit 41(1), 152–160 (2006). doi:10.​1109/​JSSC.​2005.​859029
101.
Zurück zum Zitat Suzuki, T., Yamauchi, H., Yamagami, Y., Satomi, K., Akamatsu, H.: A stable 2-port SRAM cell design against simultaneously read/write-disturbed accesses. IEEE J. Solid-State Circuit 43(9), 2109–2119 (2008)CrossRef Suzuki, T., Yamauchi, H., Yamagami, Y., Satomi, K., Akamatsu, H.: A stable 2-port SRAM cell design against simultaneously read/write-disturbed accesses. IEEE J. Solid-State Circuit 43(9), 2109–2119 (2008)CrossRef
103.
Zurück zum Zitat Takeda, K., Hagihara, Y., Aimoto, Y., Nomura, M., Nakazawa, Y., Ishii, T., Kobatake, H.: A read-static-noise-margin-free SRAM cell for low-vdd and high-speed applications. IEEE J. Solid-State Circuit 41(1), 113–121 (2006)CrossRef Takeda, K., Hagihara, Y., Aimoto, Y., Nomura, M., Nakazawa, Y., Ishii, T., Kobatake, H.: A read-static-noise-margin-free SRAM cell for low-vdd and high-speed applications. IEEE J. Solid-State Circuit 41(1), 113–121 (2006)CrossRef
108.
Zurück zum Zitat Verma, N., Chandrakasan, A.P.: A 256 kb 65 nm 8T subthreshold SRAM employing sense-amplifier redundancy. IEEE J. Solid-State Circuit 43(1), 141–149 (2008)CrossRef Verma, N., Chandrakasan, A.P.: A 256 kb 65 nm 8T subthreshold SRAM employing sense-amplifier redundancy. IEEE J. Solid-State Circuit 43(1), 141–149 (2008)CrossRef
112.
Zurück zum Zitat Wang, A., Chandrakasan, A.: A 180-mv subthreshold FFT processor using a minimum energy design methodology. IEEE J. Solid-State Circuit 40(1), 310–319 (2005)CrossRef Wang, A., Chandrakasan, A.: A 180-mv subthreshold FFT processor using a minimum energy design methodology. IEEE J. Solid-State Circuit 40(1), 310–319 (2005)CrossRef
113.
Zurück zum Zitat Wang, C.C., Wu, C.F., Hwang, R.T., Kao, C.H.: Single-ended SRAM with high test coverage and short test time. IEEE J. Solid-State Circuit 35(1), 114–118 (2000)CrossRef Wang, C.C., Wu, C.F., Hwang, R.T., Kao, C.H.: Single-ended SRAM with high test coverage and short test time. IEEE J. Solid-State Circuit 35(1), 114–118 (2000)CrossRef
119.
Zurück zum Zitat Yoshimoto, M., Anami, K., Shinohara, H., Yoshihara, T., Takagi, H., Nagao, S., Kayano, S., Nakano, T.: A divided word-line structure in the static ram and its application to a 64k full CMOS ram. IEEE J. Solid-State Circuit 18(5), 479–485 (1983)CrossRef Yoshimoto, M., Anami, K., Shinohara, H., Yoshihara, T., Takagi, H., Nagao, S., Kayano, S., Nakano, T.: A divided word-line structure in the static ram and its application to a 64k full CMOS ram. IEEE J. Solid-State Circuit 18(5), 479–485 (1983)CrossRef
120.
Zurück zum Zitat Zhai, B., Hanson, S., Blaauw, D., Sylvester, D.: A variation-tolerant sub-200 mv 6-T subthreshold SRAM. IEEE J. Solid-State Circuit 43(10), 2338–2348 (2008)CrossRef Zhai, B., Hanson, S., Blaauw, D., Sylvester, D.: A variation-tolerant sub-200 mv 6-T subthreshold SRAM. IEEE J. Solid-State Circuit 43(10), 2338–2348 (2008)CrossRef
123.
Zurück zum Zitat Zhao, W., Cao, Y.: New generation of predictive technology model for sub-45 nm design exploration. In: ISQED ’06: Proceedings of the 7th International Symposium on Quality Electronic Design, pp. 585–590. IEEE Computer Society, Washington (2006). doi:http://dx.doi.org/10.1109/ISQED.2006.91 Zhao, W., Cao, Y.: New generation of predictive technology model for sub-45 nm design exploration. In: ISQED ’06: Proceedings of the 7th International Symposium on Quality Electronic Design, pp. 585–590. IEEE Computer Society, Washington (2006). doi:http://​dx.​doi.​org/​10.​1109/​ISQED.​2006.​91
Metadaten
Titel
Introduction to SRAM
verfasst von
Jawar Singh
Saraju P. Mohanty
Dhiraj K. Pradhan
Copyright-Jahr
2013
Verlag
Springer New York
DOI
https://doi.org/10.1007/978-1-4614-0818-5_1

Neuer Inhalt