Skip to main content
Erschienen in:
Buchtitelbild

2011 | OriginalPaper | Buchkapitel

1. Introduction

verfasst von : Yu Cao

Erschienen in: Predictive Technology Model for Robust Nanoelectronic Design

Verlag: Springer US

Aktivieren Sie unsere intelligente Suche, um passende Fachinhalte oder Patente zu finden.

search-config
loading …

Abstract

The scaling of CMOS technology has been the driving force of the semiconductor industry during past five decades, with the minimum feature size expected to reach 10 nm in 10 years [1]. Beyond that benchmark, the present scaling approach may have to take a different route, in order to overcome dramatic barriers in transistor performance degradation, power consumption, process and environmental variations, and reliability issues.

Sie haben noch keine Lizenz? Dann Informieren Sie sich jetzt über unsere Produkte:

Springer Professional "Wirtschaft+Technik"

Online-Abonnement

Mit Springer Professional "Wirtschaft+Technik" erhalten Sie Zugriff auf:

  • über 102.000 Bücher
  • über 537 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Finance + Banking
  • Management + Führung
  • Marketing + Vertrieb
  • Maschinenbau + Werkstoffe
  • Versicherung + Risiko

Jetzt Wissensvorsprung sichern!

Springer Professional "Technik"

Online-Abonnement

Mit Springer Professional "Technik" erhalten Sie Zugriff auf:

  • über 67.000 Bücher
  • über 390 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Maschinenbau + Werkstoffe




 

Jetzt Wissensvorsprung sichern!

Literatur
2.
Zurück zum Zitat M. Khare, et al., “A high performance 90 nm SOI technology with 0.992μm2 6T-SRAM cell,” IEDM Tech. Dig., pp. 407–410, 2002. M. Khare, et al., “A high performance 90 nm SOI technology with 0.992μm2 6T-SRAM cell,” IEDM Tech. Dig., pp. 407–410, 2002.
3.
Zurück zum Zitat R. A. Chapman, et al., “High performance sub-half micron CMOS using rapid thermal processing,” IEDM Tech. Dig., pp. 101–104, 1991. R. A. Chapman, et al., “High performance sub-half micron CMOS using rapid thermal processing,” IEDM Tech. Dig., pp. 101–104, 1991.
4.
Zurück zum Zitat Y. Taur, et al., “High performance 0.1 μm CMOS devices with 1.5 V power supply,” IEDM Tech. Dig., pp. 127–130, 1993. Y. Taur, et al., “High performance 0.1 μm CMOS devices with 1.5 V power supply,” IEDM Tech. Dig., pp. 127–130, 1993.
5.
Zurück zum Zitat M. Rodder, Q. Z. Hong, M. Nandakumar, S. Aur, J. C. Hu, and I. C. Chen, “A sub-0.18 μm gate length CMOS technology for high performance (1.5 V) and low power (1.0 V),” IEDM Tech. Dig., pp. 563–566, 1996. M. Rodder, Q. Z. Hong, M. Nandakumar, S. Aur, J. C. Hu, and I. C. Chen, “A sub-0.18 μm gate length CMOS technology for high performance (1.5 V) and low power (1.0 V),” IEDM Tech. Dig., pp. 563–566, 1996.
6.
Zurück zum Zitat L. Su, et al., “A high-performance sub-0.25 μm CMOS technology with multiple thresholds and copper interconnects,” VLSI Symp. Tech. Dig., pp. 18–19, 1998. L. Su, et al., “A high-performance sub-0.25 μm CMOS technology with multiple thresholds and copper interconnects,” VLSI Symp. Tech. Dig., pp. 18–19, 1998.
7.
Zurück zum Zitat M. Hargrove, et al., “High-performance sub-0.08 μm CMOS with dual gate oxide and 9.7 ps inverter delay,” IEDM Tech. Dig., pp. 627–630, 1998. M. Hargrove, et al., “High-performance sub-0.08 μm CMOS with dual gate oxide and 9.7 ps inverter delay,” IEDM Tech. Dig., pp. 627–630, 1998.
8.
Zurück zum Zitat S. Yang, et al., “A high performance 180 nm generation logic technology,” IEDM Tech. Dig., pp. 197–200, 1998. S. Yang, et al., “A high performance 180 nm generation logic technology,” IEDM Tech. Dig., pp. 197–200, 1998.
9.
Zurück zum Zitat P. Gilbert, et al., “A high performance l.5 V, 0.10 μm gate length CMOS technology with scaled copper metalization,” IEDM Tech. Dig., pp. 1013–1016, 1998. P. Gilbert, et al., “A high performance l.5 V, 0.10 μm gate length CMOS technology with scaled copper metalization,” IEDM Tech. Dig., pp. 1013–1016, 1998.
10.
Zurück zum Zitat T. Ghani, et al., “100 nm gate length high performance/low power CMOS transistor structure,” IEDM Tech. Dig., pp. 415–418, 1999. T. Ghani, et al., “100 nm gate length high performance/low power CMOS transistor structure,” IEDM Tech. Dig., pp. 415–418, 1999.
11.
Zurück zum Zitat K. K. Young, et al., “A 0.13 μm CMOS technology with 193 nm lithography and Cu/low-k for high performance applications,” IEDM Tech. Dig., pp. 563–566, 2000. K. K. Young, et al., “A 0.13 μm CMOS technology with 193 nm lithography and Cu/low-k for high performance applications,” IEDM Tech. Dig., pp. 563–566, 2000.
12.
Zurück zum Zitat S. Tyagi, et al., “A 130 nm generation logic technology featuring 70 nm transistors, dual Vt transistors and 6 layers of Cu interconnects,” IEDM Tech. Dig., pp. 567–570, 2000. S. Tyagi, et al., “A 130 nm generation logic technology featuring 70 nm transistors, dual Vt transistors and 6 layers of Cu interconnects,” IEDM Tech. Dig., pp. 567–570, 2000.
13.
Zurück zum Zitat K. Ichinose, et al., “A high performance 0.12 μm CMOS with manufacturable 0.18 μm technology,” VLSI Symp. Tech. Dig., pp. 103–104, 2001. K. Ichinose, et al., “A high performance 0.12 μm CMOS with manufacturable 0.18 μm technology,” VLSI Symp. Tech. Dig., pp. 103–104, 2001.
14.
Zurück zum Zitat S. Thompson, et al., “An enhanced 130 nm generation logic technology featuring 60 nm transistors optimized for high performance and low power at 0.7–1.4 V,” IEDM Tech. Dig., pp. 257–260, 2001. S. Thompson, et al., “An enhanced 130 nm generation logic technology featuring 60 nm transistors optimized for high performance and low power at 0.7–1.4 V,” IEDM Tech. Dig., pp. 257–260, 2001.
15.
Zurück zum Zitat M. Celik, et al., “A 45 nm gate length high performance SOI transistor for 100 nm CMOS technology applications,” VLSI Symp. Tech. Dig., pp. 166–167, 2002. M. Celik, et al., “A 45 nm gate length high performance SOI transistor for 100 nm CMOS technology applications,” VLSI Symp. Tech. Dig., pp. 166–167, 2002.
16.
Zurück zum Zitat V. Chan, et al., “High speed 45 nm gate length CMOSFETs integrated into a 90 nm bulk technology incorporating strain engineering,” IEDM Tech. Dig., pp. 77–80, 2003. V. Chan, et al., “High speed 45 nm gate length CMOSFETs integrated into a 90 nm bulk technology incorporating strain engineering,” IEDM Tech. Dig., pp. 77–80, 2003.
17.
Zurück zum Zitat K. Mistry, et al., “Delaying forever: Uniaxial strained silicon transistors in a 90 nm CMOS technology,” VLSI Symp. Tech. Dig., pp. 50–51, 2004. K. Mistry, et al., “Delaying forever: Uniaxial strained silicon transistors in a 90 nm CMOS technology,” VLSI Symp. Tech. Dig., pp. 50–51, 2004.
18.
Zurück zum Zitat S. Mayuzumi, et al., “Extreme high-performance n- and p-MOSFETs boosted by dual-metal/high-k gate damascene process using top-cut dual stress liners on (100) substrates,” IEDM Tech. Dig., pp. 293–296, 2007. S. Mayuzumi, et al., “Extreme high-performance n- and p-MOSFETs boosted by dual-metal/high-k gate damascene process using top-cut dual stress liners on (100) substrates,” IEDM Tech. Dig., pp. 293–296, 2007.
19.
Zurück zum Zitat A. Pouydebasque, et al., “High density and high speed SRAM bit-cells and ring oscillators due to laser annealing for 45 nm bulk CMOS,” IEDM Tech. Dig., pp. 663–666, 2005. A. Pouydebasque, et al., “High density and high speed SRAM bit-cells and ring oscillators due to laser annealing for 45 nm bulk CMOS,” IEDM Tech. Dig., pp. 663–666, 2005.
20.
Zurück zum Zitat W.-H. Lee, et al., “High performance 65 nm SOI technology with enhanced transistor strain and advanced-low-k BEOL,” IEDM Tech. Dig., pp. 56–59, 2005. W.-H. Lee, et al., “High performance 65 nm SOI technology with enhanced transistor strain and advanced-low-k BEOL,” IEDM Tech. Dig., pp. 56–59, 2005.
21.
Zurück zum Zitat S. Tyagi, et al., “An advanced low power, high performance, strained channel 65 nm technology,” IEDM Tech. Dig., pp. 1070–1072, 2005. S. Tyagi, et al., “An advanced low power, high performance, strained channel 65 nm technology,” IEDM Tech. Dig., pp. 1070–1072, 2005.
22.
Zurück zum Zitat M. Rodder, et al., “Oxide thickness dependence of inverter delay and device reliability for 0.25 μm CMOS technology,” IEDM Tech. Dig., pp. 879–882, 1993. M. Rodder, et al., “Oxide thickness dependence of inverter delay and device reliability for 0.25 μm CMOS technology,” IEDM Tech. Dig., pp. 879–882, 1993.
23.
Zurück zum Zitat M. Rodder, A. Amerasekera, S. Aur, and I. C. Chen, “A study of design/process dependence of 0.25 μm gate length CMOS for improved performance and reliability,” IEDM Tech. Dig., pp. 71–74, 1994. M. Rodder, A. Amerasekera, S. Aur, and I. C. Chen, “A study of design/process dependence of 0.25 μm gate length CMOS for improved performance and reliability,” IEDM Tech. Dig., pp. 71–74, 1994.
24.
Zurück zum Zitat M. Rodder, S. Aur, and I.-C. Chen, “A scaled 1.8 V, 0.18 μm gate length CMOS technology: Device design and reliability considerations,” IEDM Tech. Dig., pp. 415–418, 1995. M. Rodder, S. Aur, and I.-C. Chen, “A scaled 1.8 V, 0.18 μm gate length CMOS technology: Device design and reliability considerations,” IEDM Tech. Dig., pp. 415–418, 1995.
25.
Zurück zum Zitat M. Rodder, et al., “A 1.2 V, 0.1 μm gate length CMOS technology: Design and process issues,” IEDM Tech. Dig., pp. 623–626, 1998. M. Rodder, et al., “A 1.2 V, 0.1 μm gate length CMOS technology: Design and process issues,” IEDM Tech. Dig., pp. 623–626, 1998.
26.
Zurück zum Zitat M. Mehrotra, et al., “A 1.2 V, sub-0.09 μm gate length CMOS technology,” IEDM Tech. Dig., pp. 419–422, 1999. M. Mehrotra, et al., “A 1.2 V, sub-0.09 μm gate length CMOS technology,” IEDM Tech. Dig., pp. 419–422, 1999.
27.
Zurück zum Zitat A. H. Perera, et al., “A versatile 0.13 μm CMOS platform technology supporting high performance and low power applications,” IEDM Tech. Dig., pp. 571–574, 2000. A. H. Perera, et al., “A versatile 0.13 μm CMOS platform technology supporting high performance and low power applications,” IEDM Tech. Dig., pp. 571–574, 2000.
28.
Zurück zum Zitat N. Yanagiya, et al., “65 nm CMOS technology (CMOS5) with high density embedded memories for broadband microprocessor applications,” IEDM Tech. Dig., pp. 57–60, 2002. N. Yanagiya, et al., “65 nm CMOS technology (CMOS5) with high density embedded memories for broadband microprocessor applications,” IEDM Tech. Dig., pp. 57–60, 2002.
29.
Zurück zum Zitat S. Thompson, et al., “A 90 nm logic technology featuring 50 nm strained silicon channel transistors, 7 layers of Cu interconnects, low-k ILD, and 1μm2 SRAM cell,” IEDM Tech. Dig., pp. 61–64, 2002. S. Thompson, et al., “A 90 nm logic technology featuring 50 nm strained silicon channel transistors, 7 layers of Cu interconnects, low-k ILD, and 1μm2 SRAM cell,” IEDM Tech. Dig., pp. 61–64, 2002.
30.
Zurück zum Zitat P. Bai, et al., “A 65 nm logic technology featuring 35 nm gate lengths, enhanced channel strain, 8 Cu interconnect layers, low-k ILD and 0.57 pmZ SRAM Cell,” IEDM Tech. Dig., pp. 657–660, 2004. P. Bai, et al., “A 65 nm logic technology featuring 35 nm gate lengths, enhanced channel strain, 8 Cu interconnect layers, low-k ILD and 0.57 pmZ SRAM Cell,” IEDM Tech. Dig., pp. 657–660, 2004.
31.
Zurück zum Zitat B. H. Calhoun, Y. Cao, X. Li, K. Mai, L. T. Pileggi, R. A. Rutenbar, and K. L. Shepard, “Digital circuit design challenges and opportunities in the era of nanoscale CMOS,” Proceedings of the IEEE, vol. 96, no. 2, pp. 343–365, February 2008.CrossRef B. H. Calhoun, Y. Cao, X. Li, K. Mai, L. T. Pileggi, R. A. Rutenbar, and K. L. Shepard, “Digital circuit design challenges and opportunities in the era of nanoscale CMOS,” Proceedings of the IEEE, vol. 96, no. 2, pp. 343–365, February 2008.CrossRef
32.
Zurück zum Zitat S. Jha, “Challenges on design complexities for advanced wireless silicon systems,” Design Automation Conference, 2008. S. Jha, “Challenges on design complexities for advanced wireless silicon systems,” Design Automation Conference, 2008.
33.
Zurück zum Zitat W. Zhao, Y. Cao, “New generation of predictive technology model for sub-45 nm early design exploration,” IEEE Transactions on Electron Devices, vol. 53, no. 11, pp. 2816–2823, November 2006.CrossRef W. Zhao, Y. Cao, “New generation of predictive technology model for sub-45 nm early design exploration,” IEEE Transactions on Electron Devices, vol. 53, no. 11, pp. 2816–2823, November 2006.CrossRef
Metadaten
Titel
Introduction
verfasst von
Yu Cao
Copyright-Jahr
2011
Verlag
Springer US
DOI
https://doi.org/10.1007/978-1-4614-0445-3_1

Neuer Inhalt