Skip to main content
Erschienen in:
Buchtitelbild

2017 | OriginalPaper | Buchkapitel

1. IoT: Bird’s Eye View, Megatrends and Perspectives

verfasst von : Massimo Alioto

Erschienen in: Enabling the Internet of Things

Verlag: Springer International Publishing

Aktivieren Sie unsere intelligente Suche, um passende Fachinhalte oder Patente zu finden.

search-config
loading …

Abstract

This chapter opens the book and provides a summary of the challenges and the opportunities that are offered by the Internet of Things (IoT), with emphasis on the aspects that are relevant to integrated circuit and system design from circuits to packaging for IoT nodes. The chapter is organized along a chronological perspective, first reviewing technology historical trends beyond mere Moore’s law, and summarizing recent past achievements and capabilities that are making the IoT possible. Then, present challenges are described, as pathway to up-coming advances and developments in the design of IoT nodes. Finally, mega-trends are examined to unearth clues on longer-term evolution of the IoT and the implications on integrated system design.

Sie haben noch keine Lizenz? Dann Informieren Sie sich jetzt über unsere Produkte:

Springer Professional "Wirtschaft+Technik"

Online-Abonnement

Mit Springer Professional "Wirtschaft+Technik" erhalten Sie Zugriff auf:

  • über 102.000 Bücher
  • über 537 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Finance + Banking
  • Management + Führung
  • Marketing + Vertrieb
  • Maschinenbau + Werkstoffe
  • Versicherung + Risiko

Jetzt Wissensvorsprung sichern!

Springer Professional "Technik"

Online-Abonnement

Mit Springer Professional "Technik" erhalten Sie Zugriff auf:

  • über 67.000 Bücher
  • über 390 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Maschinenbau + Werkstoffe




 

Jetzt Wissensvorsprung sichern!

Springer Professional "Wirtschaft"

Online-Abonnement

Mit Springer Professional "Wirtschaft" erhalten Sie Zugriff auf:

  • über 67.000 Bücher
  • über 340 Zeitschriften

aus folgenden Fachgebieten:

  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Finance + Banking
  • Management + Führung
  • Marketing + Vertrieb
  • Versicherung + Risiko




Jetzt Wissensvorsprung sichern!

Literatur
Zurück zum Zitat R. Aitken, V. Chandra, J. Myers, B. Sandhu, L. Shifren, G. Yeric, Device and technology implications of the Internet of Things, in Proceedings of IEEE Symposium VLSI Circuits (VLSI-Symposium) (2014) R. Aitken, V. Chandra, J. Myers, B. Sandhu, L. Shifren, G. Yeric, Device and technology implications of the Internet of Things, in Proceedings of IEEE Symposium VLSI Circuits (VLSI-Symposium) (2014)
Zurück zum Zitat M. Alioto, Ultra-low power VLSI circuit design demystified and explained: a tutorial. IEEE Trans. Circ. Syst. 59(1), 3–29 (2012)MathSciNetCrossRef M. Alioto, Ultra-low power VLSI circuit design demystified and explained: a tutorial. IEEE Trans. Circ. Syst. 59(1), 3–29 (2012)MathSciNetCrossRef
Zurück zum Zitat M. Alioto, Designing (relatively) reliable systems with (highly) unreliable components,—Keynote at IEEE NEWCAS 2016, Vancouver (CA), 24–26 June (2016) M. Alioto, Designing (relatively) reliable systems with (highly) unreliable components,—Keynote at IEEE NEWCAS 2016, Vancouver (CA), 24–26 June (2016)
Zurück zum Zitat M. Alioto, Energy harvesters for IoT: applications and key aspects—Short course at VLSI Symposium 2015, Kyoto, 15 June (2015) M. Alioto, Energy harvesters for IoT: applications and key aspects—Short course at VLSI Symposium 2015, Kyoto, 15 June (2015)
Zurück zum Zitat M. Alioto, E. Consoli, J. Rabaey, “EChO” reconfigurable power management unit for energy reduction in sleep-active transitions. IEEE J. Solid State Circuits 48(8), 1921–1932 (2013)CrossRef M. Alioto, E. Consoli, J. Rabaey, “EChO” reconfigurable power management unit for energy reduction in sleep-active transitions. IEEE J. Solid State Circuits 48(8), 1921–1932 (2013)CrossRef
Zurück zum Zitat A. Arbit, Y. Livne, Y. Oren, A. Wool, Implementing public-key cryptography on passive RFID tags is practical. Int. J. Inform. Secur. 14(1), 85–99 (2015)CrossRef A. Arbit, Y. Livne, Y. Oren, A. Wool, Implementing public-key cryptography on passive RFID tags is practical. Int. J. Inform. Secur. 14(1), 85–99 (2015)CrossRef
Zurück zum Zitat L. Atzori, A. Iera, G. Morabito, Internet of things—a survey. Comput. Netw. 54(15), 2787–2805 (2010)CrossRefMATH L. Atzori, A. Iera, G. Morabito, Internet of things—a survey. Comput. Netw. 54(15), 2787–2805 (2010)CrossRefMATH
Zurück zum Zitat R.G. Baraniuk, Compressive sensing [Lecture Notes]. IEEE Signal Process. Mag. 24(4), 118–124 (2007)CrossRef R.G. Baraniuk, Compressive sensing [Lecture Notes]. IEEE Signal Process. Mag. 24(4), 118–124 (2007)CrossRef
Zurück zum Zitat R. Beaulieu, S. Treatman-Clark, D. Shors, B. Weeks, J. Smith, L. Wingers, The SIMON and SPECK lightweight block ciphers, in Proceedings of the DAC 2015, San Francisco, USA (2015) R. Beaulieu, S. Treatman-Clark, D. Shors, B. Weeks, J. Smith, L. Wingers, The SIMON and SPECK lightweight block ciphers, in Proceedings of the DAC 2015, San Francisco, USA (2015)
Zurück zum Zitat K. Beck, C. Andres, Extreme programming explained, 2nd edn. (Addison-Wesley, Boston, 2005) K. Beck, C. Andres, Extreme programming explained, 2nd edn. (Addison-Wesley, Boston, 2005)
Zurück zum Zitat K. Beck, J. Grenning, R. C. Martin, M. Beedle, J. Highsmith, S. Mellor, A. van Bennekum, A. Hunt, K. Schwaber, A. Cockburn, R. Jeffries, J. Sutherland, W. Cunningham, J. Kern, D. Thomas, M. Fowler, B. Marick, Manifesto for Agile Software Development (2001). http://agilemanifesto.org/ K. Beck, J. Grenning, R. C. Martin, M. Beedle, J. Highsmith, S. Mellor, A. van Bennekum, A. Hunt, K. Schwaber, A. Cockburn, R. Jeffries, J. Sutherland, W. Cunningham, J. Kern, D. Thomas, M. Fowler, B. Marick, Manifesto for Agile Software Development (2001). http://​agilemanifesto.​org/​
Zurück zum Zitat R. Beica, MEMS and sensors: applications and key aspects—Short course at VLSI Symposium 2015, Kyoto, 15 June (2015) R. Beica, MEMS and sensors: applications and key aspects—Short course at VLSI Symposium 2015, Kyoto, 15 June (2015)
Zurück zum Zitat G. Bell, Bell’s law for rise and death of computer classes. Commun. ACM 51(1), 86–94 (2008)CrossRef G. Bell, Bell’s law for rise and death of computer classes. Commun. ACM 51(1), 86–94 (2008)CrossRef
Zurück zum Zitat C.G. Bell, R. Chen, S. Rege, Effect of technology on near term computer structures. IEEE Comput. 5(2), 29–38 (1972)CrossRef C.G. Bell, R. Chen, S. Rege, Effect of technology on near term computer structures. IEEE Comput. 5(2), 29–38 (1972)CrossRef
Zurück zum Zitat G. Beni, J. Wang, Swarm intelligence in cellular robotic systems, in Proceedings of the NATO advanced workshop on robots & biological systems, Italy (1989) G. Beni, J. Wang, Swarm intelligence in cellular robotic systems, in Proceedings of the NATO advanced workshop on robots & biological systems, Italy (1989)
Zurück zum Zitat J. Bhadra, S. Ray, Security challenges in mobile and IoT systems, in Proceedings of the SOCC 2016, Seattle, USA (2016) J. Bhadra, S. Ray, Security challenges in mobile and IoT systems, in Proceedings of the SOCC 2016, Seattle, USA (2016)
Zurück zum Zitat R. Brayton, L.P. Carloni, A. Sangiovanni-Vincentelli, T. Villa, Design automation of electronic systems: past accomplishments and challenges ahead. Proc. IEEE 103(11), 1952–1957 (2015)CrossRef R. Brayton, L.P. Carloni, A. Sangiovanni-Vincentelli, T. Villa, Design automation of electronic systems: past accomplishments and challenges ahead. Proc. IEEE 103(11), 1952–1957 (2015)CrossRef
Zurück zum Zitat E. Brynjolfsson, L.M. Hitt, Beyond the productivity paradox. Commun. ACM 41(8), 49–55 (1998)CrossRef E. Brynjolfsson, L.M. Hitt, Beyond the productivity paradox. Commun. ACM 41(8), 49–55 (1998)CrossRef
Zurück zum Zitat E. Candès, J. Romberg, T. Tao, Robust uncertainty principles: exact signal reconstruction from highly incomplete frequency information. IEEE Trans. Inform. Theory 52(2), 489–509 (2006)MathSciNetCrossRefMATH E. Candès, J. Romberg, T. Tao, Robust uncertainty principles: exact signal reconstruction from highly incomplete frequency information. IEEE Trans. Inform. Theory 52(2), 489–509 (2006)MathSciNetCrossRefMATH
Zurück zum Zitat C. Desset and A. Fort, Selection of channel coding for low-power wireless systems, in Proceedings of the IEEE Vehicular Technology Conference (2003), pp. 22–25 C. Desset and A. Fort, Selection of channel coding for low-power wireless systems, in Proceedings of the IEEE Vehicular Technology Conference (2003), pp. 22–25
Zurück zum Zitat H. Esmaeilzadeh, E. Blem, T.S. Amant, K. Sankaralingam, D. Burger, Dark silicon and the end of multicore scaling. IEEE Micro 32(3), 122–134 (2012)CrossRef H. Esmaeilzadeh, E. Blem, T.S. Amant, K. Sankaralingam, D. Burger, Dark silicon and the end of multicore scaling. IEEE Micro 32(3), 122–134 (2012)CrossRef
Zurück zum Zitat M. Fojtik, D. Kim, G. Chen, Y.-S. Lin, D. Fick, J. Park, M. Seok, M.-T. Chen, Z. Foo, D. Blaauw, D. Sylvester, A millimeter-scale energy-autonomous sensor system with stacked battery and solar cells. IEEE J. Solid State Circuits 48(3), 801–813 (2013)CrossRef M. Fojtik, D. Kim, G. Chen, Y.-S. Lin, D. Fick, J. Park, M. Seok, M.-T. Chen, Z. Foo, D. Blaauw, D. Sylvester, A millimeter-scale energy-autonomous sensor system with stacked battery and solar cells. IEEE J. Solid State Circuits 48(3), 801–813 (2013)CrossRef
Zurück zum Zitat G. Frantz, Digital Signal Processor Trends, IEEE Micro (2000) G. Frantz, Digital Signal Processor Trends, IEEE Micro (2000)
Zurück zum Zitat L. Freyman, D. Fick, M. Alioto, D. Blaauw, D. Sylvester, A 346 μm2 VCO-based, reference-free, self-timed sensor interface for cubic-millimeter sensor nodes in 28 nm CMOS. IEEE J. Solid State Circuits 49(11), 2462–2473 (2014)CrossRef L. Freyman, D. Fick, M. Alioto, D. Blaauw, D. Sylvester, A 346 μm2 VCO-based, reference-free, self-timed sensor interface for cubic-millimeter sensor nodes in 28 nm CMOS. IEEE J. Solid State Circuits 49(11), 2462–2473 (2014)CrossRef
Zurück zum Zitat H.T. Friis, A note on a simple transmission formula. Proc. IRE 34(5), 254–256 (1946)CrossRef H.T. Friis, A note on a simple transmission formula. Proc. IRE 34(5), 254–256 (1946)CrossRef
Zurück zum Zitat F. Frustaci, M. Khayatzadeh, D. Blaauw, D. Sylvester, M. Alioto, SRAM for error-tolerant applications with dynamic energy-quality management in 28 nm CMOS. IEEE J. Solid State Circuits 50(3), 1310–1323 (2015)CrossRef F. Frustaci, M. Khayatzadeh, D. Blaauw, D. Sylvester, M. Alioto, SRAM for error-tolerant applications with dynamic energy-quality management in 28 nm CMOS. IEEE J. Solid State Circuits 50(3), 1310–1323 (2015)CrossRef
Zurück zum Zitat R. Goodall, D. Fandel, H. Huffet, Long-Term Productivity Mechanisms of the Semiconductor Industry, in Proceedings of the Ninth International Symposium on Silicon Materials Science and Technology, Philadelphia, USA (2002) R. Goodall, D. Fandel, H. Huffet, Long-Term Productivity Mechanisms of the Semiconductor Industry, in Proceedings of the Ninth International Symposium on Silicon Materials Science and Technology, Philadelphia, USA (2002)
Zurück zum Zitat J. Greenough, J. Camhi, The internet of things: examining how the IoT will affect the world, Business Intelligence report (2015) J. Greenough, J. Camhi, The internet of things: examining how the IoT will affect the world, Business Intelligence report (2015)
Zurück zum Zitat R. Hegde, N.R. Shanbhag, Soft digital signal processing. IEEE TVLSI 9(6), 813–823 (2001) R. Hegde, N.R. Shanbhag, Soft digital signal processing. IEEE TVLSI 9(6), 813–823 (2001)
Zurück zum Zitat C. Helfmeier, C. Boit, D. Nedospasov, J.-P. Seifert, Cloning Physically Unclonable Functions, in Proceeding of the IEEE International Symposium on Hardware-Oriented Security and Trust (HOST) (2013), pp. 1–6. C. Helfmeier, C. Boit, D. Nedospasov, J.-P. Seifert, Cloning Physically Unclonable Functions, in Proceeding of the IEEE International Symposium on Hardware-Oriented Security and Trust (HOST) (2013), pp. 1–6.
Zurück zum Zitat C. Helfmeier, C. Boit, D. Nedospasov, S. Tajik, J.-P. Seifert, Physical Vulnerabilities of Physically Unclonable Functions, in Proceedings of the DATE 2014, Grenoble (France) (2014) C. Helfmeier, C. Boit, D. Nedospasov, S. Tajik, J.-P. Seifert, Physical Vulnerabilities of Physically Unclonable Functions, in Proceedings of the DATE 2014, Grenoble (France) (2014)
Zurück zum Zitat B. Horowitz, The hard thing about hard things: building a business when there are no easy answers, HarperBusiness (2014) B. Horowitz, The hard thing about hard things: building a business when there are no easy answers, HarperBusiness (2014)
Zurück zum Zitat W. Hu, P. Corke, W. C. Shih, L. Overs, secFleck: a public key technology platform for wireless sensor networks, in Proceedings of the EWSN’09, Cork (Ireland) (2009), pp. 296–311. W. Hu, P. Corke, W. C. Shih, L. Overs, secFleck: a public key technology platform for wireless sensor networks, in Proceedings of the EWSN’09, Cork (Ireland) (2009), pp. 296–311.
Zurück zum Zitat G.D. Hutcheson, The economic implications of Moore’s law, in Into the nano era, ed. by H. Huff (Springer, Berlin, 2009) G.D. Hutcheson, The economic implications of Moore’s law, in Into the nano era, ed. by H. Huff (Springer, Berlin, 2009)
Zurück zum Zitat Intrinsic-ID, SRAM PUF : the secure silicon fingerprint, White Paper (2016) Intrinsic-ID, SRAM PUF : the secure silicon fingerprint, White Paper (2016)
Zurück zum Zitat M.Y. Jaber, Learning curves: theory, models, and applications (CRC Press, Boca Raton, 2011)CrossRef M.Y. Jaber, Learning curves: theory, models, and applications (CRC Press, Boca Raton, 2011)CrossRef
Zurück zum Zitat S. Jankowski, J. Covello, H. Bellini, H. Ritchie, D. Costa, IoT primer the internet of things: making sense of the next mega-trend, Global Investment Research, The Goldman Sachs Group (2014) S. Jankowski, J. Covello, H. Bellini, H. Ritchie, D. Costa, IoT primer the internet of things: making sense of the next mega-trend, Global Investment Research, The Goldman Sachs Group (2014)
Zurück zum Zitat L. Karam, I. Alkamal, A. Gatherer, G.A. Frantz, D.V. Anderson, B.L. Evans, Trends in multicore DSP platforms. IEEE Signal Process. Mag. 26(6), 38–49 (2009)CrossRef L. Karam, I. Alkamal, A. Gatherer, G.A. Frantz, D.V. Anderson, B.L. Evans, Trends in multicore DSP platforms. IEEE Signal Process. Mag. 26(6), 38–49 (2009)CrossRef
Zurück zum Zitat I. Keene, Market trends: small cell infrastructure, femtocell, picocell and carrier wi-fi hot spot deployment plans start to solidify, Gartner report, 19 Mar (2015) I. Keene, Market trends: small cell infrastructure, femtocell, picocell and carrier wi-fi hot spot deployment plans start to solidify, Gartner report, 19 Mar (2015)
Zurück zum Zitat J. Koomey, et al., Implications of historical trends in the electrical efficiency of computing. IEEE Ann. History Comput. (2011) J. Koomey, et al., Implications of historical trends in the electrical efficiency of computing. IEEE Ann. History Comput. (2011)
Zurück zum Zitat J. Kwong, Y.K. Ramadass, N. Verma, A.P. Chandrakasan, A 65 nm Sub-Vt microcontroller with integrated SRAM and switched capacitor DC-DC converter. IEEE J. Solid State Circuits 44(1), 115–126 (2009)CrossRef J. Kwong, Y.K. Ramadass, N. Verma, A.P. Chandrakasan, A 65 nm Sub-Vt microcontroller with integrated SRAM and switched capacitor DC-DC converter. IEEE J. Solid State Circuits 44(1), 115–126 (2009)CrossRef
Zurück zum Zitat B.-T. Lee, S.-C. Son, K. Kang, A blind calibration scheme exploiting mutual calibration relationships for a dense mobile sensor network. IEEE Sensors J. 14(5), 1518–1526 (2014)CrossRef B.-T. Lee, S.-C. Son, K. Kang, A blind calibration scheme exploiting mutual calibration relationships for a dense mobile sensor network. IEEE Sensors J. 14(5), 1518–1526 (2014)CrossRef
Zurück zum Zitat M. Lueders, B. Eversmann, J. Gerber, K. Huber, R. Kuhn, M. Zwerg, D. Schmitt-Landsiedel, R. Brederlow, Architectural and circuit design techniques for power management of ultra-low-power mcu systems. IEEE Trans. VLSI Syst. 22(11), 2287–2296 (2014)CrossRef M. Lueders, B. Eversmann, J. Gerber, K. Huber, R. Kuhn, M. Zwerg, D. Schmitt-Landsiedel, R. Brederlow, Architectural and circuit design techniques for power management of ultra-low-power mcu systems. IEEE Trans. VLSI Syst. 22(11), 2287–2296 (2014)CrossRef
Zurück zum Zitat K. T. Malladi, F. A. Nothaft, K. Periyathambi, B. C. Lee, C. Kozyrakis, M. Horowitz, Towards Energy-Proportional Datacenter Memory with Mobile DRAM, in Proceedings of the ISCA 2012, Portland, USA (2012). K. T. Malladi, F. A. Nothaft, K. Periyathambi, B. C. Lee, C. Kozyrakis, M. Horowitz, Towards Energy-Proportional Datacenter Memory with Mobile DRAM, in Proceedings of the ISCA 2012, Portland, USA (2012).
Zurück zum Zitat E. Miluzzo, N. D. Lane, A. T. Campbell1, R. Olfati-Saber, CaliBree: A Self-calibration System for Mobile Sensor Networks, in Proceedings of the 4th IEEE international conference on Distributed Computing in Sensor Systems, Santorini (Greece) (2008), pp. 314–331 E. Miluzzo, N. D. Lane, A. T. Campbell1, R. Olfati-Saber, CaliBree: A Self-calibration System for Mobile Sensor Networks, in Proceedings of the 4th IEEE international conference on Distributed Computing in Sensor Systems, Santorini (Greece) (2008), pp. 314–331
Zurück zum Zitat R. Min, M. Bhardwaj, S.-H. Cho, E. Shih, A. Sinha, A. Wang, A. Chandrakasan, Low-Power Wireless Sensor Networks, in Proceedings of the 14th International Conference on VLSI Design (2001), pp. 205–210 R. Min, M. Bhardwaj, S.-H. Cho, E. Shih, A. Sinha, A. Wang, A. Chandrakasan, Low-Power Wireless Sensor Networks, in Proceedings of the 14th International Conference on VLSI Design (2001), pp. 205–210
Zurück zum Zitat P. Narendra Mahalle, P.N. Railkar, Identity Management for Internet of Things (Rivers Publishers, Netherlands, 2015) P. Narendra Mahalle, P.N. Railkar, Identity Management for Internet of Things (Rivers Publishers, Netherlands, 2015)
Zurück zum Zitat D. Nedospasov, J.-P. Seifert, C. Helfmeier, C. Boit, Invasive PUF Analysis, in Proceedings of the 2013 Workshop on Fault Diagnosis and Tolerance in Cryptography (2013), pp. 30–38 D. Nedospasov, J.-P. Seifert, C. Helfmeier, C. Boit, Invasive PUF Analysis, in Proceedings of the 2013 Workshop on Fault Diagnosis and Tolerance in Cryptography (2013), pp. 30–38
Zurück zum Zitat V. Nehru, H. S. Jattana, Efficient ASIC Architecture of RSA Cryptosystem, in Procedings of the Fourth International Conference on Advances in Computing and Information Technology (ACITY 2014), Delhi, India (2014) V. Nehru, H. S. Jattana, Efficient ASIC Architecture of RSA Cryptosystem, in Procedings of the Fourth International Conference on Advances in Computing and Information Technology (ACITY 2014), Delhi, India (2014)
Zurück zum Zitat B. Otis, J. Rabaey, Ultra-Low Power Wireless Technologies for Sensor Networks (Springer, Berlin, 2007) B. Otis, J. Rabaey, Ultra-Low Power Wireless Technologies for Sensor Networks (Springer, Berlin, 2007)
Zurück zum Zitat Y.K. Ramadass, A.P. Chandrakasan, Minimum energy tracking loop with embedded DC-DC converter enabling ultra-low-voltage operation down to 250 mV in 65 nm CMOS. IEEE J. Solid State Circuits 43(1), 256–265 (2008)CrossRef Y.K. Ramadass, A.P. Chandrakasan, Minimum energy tracking loop with embedded DC-DC converter enabling ultra-low-voltage operation down to 250 mV in 65 nm CMOS. IEEE J. Solid State Circuits 43(1), 256–265 (2008)CrossRef
Zurück zum Zitat S. Ray, J. Yang, A. Basak, and S. Bhunia, Correctness and Security at Odds: Post-silicon Validation of Modern SoC Designs, in Proceedings of the 52nd Annual Design Automation Conference (2015) S. Ray, J. Yang, A. Basak, and S. Bhunia, Correctness and Security at Odds: Post-silicon Validation of Modern SoC Designs, in Proceedings of the 52nd Annual Design Automation Conference (2015)
Zurück zum Zitat W. C. Rhines, Cost Challenges on the Way to the Internet of Things—Keynote at IEEE ICECS 2015, Cairo, Egypt (2015) W. C. Rhines, Cost Challenges on the Way to the Internet of Things—Keynote at IEEE ICECS 2015, Cairo, Egypt (2015)
Zurück zum Zitat E.M. Rogers, Diffusion of Innovations, 5th edn. (Simon and Schuster, New York, 2003) E.M. Rogers, Diffusion of Innovations, 5th edn. (Simon and Schuster, New York, 2003)
Zurück zum Zitat S. Rosenblatt, D. Fainstein, A. Cestero, J. Safran, N. Robson, T. Kirihata, S.S. Iyer, Field tolerant dynamic intrinsic chip ID using 32 nm high-k/metal gate SOI embedded dram. IEEE J. Solid State Circuits 48(4), 940–947 (2013)CrossRef S. Rosenblatt, D. Fainstein, A. Cestero, J. Safran, N. Robson, T. Kirihata, S.S. Iyer, Field tolerant dynamic intrinsic chip ID using 32 nm high-k/metal gate SOI embedded dram. IEEE J. Solid State Circuits 48(4), 940–947 (2013)CrossRef
Zurück zum Zitat M. Shoaib, N.K. Jha, N. Verma, Signal processing with direct computations on compressively sensed data. IEEE Trans. VLSI Syst. 23(1), 30–43 (2015)CrossRef M. Shoaib, N.K. Jha, N. Verma, Signal processing with direct computations on compressively sensed data. IEEE Trans. VLSI Syst. 23(1), 30–43 (2015)CrossRef
Zurück zum Zitat M. Siekkinen, M. Hiienkari, J. K. Nurminen, J. Nieminen, “How Low Energy is Bluetooth Low Energy? Comparative Measurements with ZigBee/802.15.4,” in Proceedings of the WCNC 2012 (2012), pp. 232–237 M. Siekkinen, M. Hiienkari, J. K. Nurminen, J. Nieminen, “How Low Energy is Bluetooth Low Energy? Comparative Measurements with ZigBee/802.15.4,” in Proceedings of the WCNC 2012 (2012), pp. 232–237
Zurück zum Zitat S. Skorobogatov, Optical Fault Masking Attacks, in Proceedings of the 2010 Workshop on Fault Diagnosis and Tolerance in Cryptography (2010), pp. 23–29 S. Skorobogatov, Optical Fault Masking Attacks, in Proceedings of the 2010 Workshop on Fault Diagnosis and Tolerance in Cryptography (2010), pp. 23–29
Zurück zum Zitat I. Stojmenovic (ed.), Handbook of Sensor Networks: Algorithms and Architectures (Wiley, Hoboken, 2005) I. Stojmenovic (ed.), Handbook of Sensor Networks: Algorithms and Architectures (Wiley, Hoboken, 2005)
Zurück zum Zitat Y. Taito, M. Nakano, H. Okimoto, D. Okada, T. Ito, T. Kono, K. Noguchi, H. Hidaka, T. Yamauchi, A 28 nm Embedded SG-MONOS Flash Macro for Automotive Achieving 200 MHz Read Operation and 2.0 MB/s Write Throughput at Tj of 170 °C, in IEEE ISSCC Dig. Tech. Papers (2015), pp. 132–133 Y. Taito, M. Nakano, H. Okimoto, D. Okada, T. Ito, T. Kono, K. Noguchi, H. Hidaka, T. Yamauchi, A 28 nm Embedded SG-MONOS Flash Macro for Automotive Achieving 200 MHz Read Operation and 2.0 MB/s Write Throughput at Tj of 170 °C, in IEEE ISSCC Dig. Tech. Papers (2015), pp. 132–133
Zurück zum Zitat M. Tehranipoor, C. Wang, Introduction to Hardware Security and Trust (Springer, Berlin, 2012)CrossRef M. Tehranipoor, C. Wang, Introduction to Hardware Security and Trust (Springer, Berlin, 2012)CrossRef
Zurück zum Zitat G. Uhlmann, T. Aipperspach, T. Kirihata, C. Kothandaraman, Y. Li, C. Paone, B. Reed, N. Robson, J. Safran, D. Schmitt, and S. Iyer, A commercial field-programmable dense eFUSE array memory with 99.999% sense yield for 45 nm SOI CMOS, in IEEE ISSCC Dig. Tech. Papers (2008), pp. 406–407 G. Uhlmann, T. Aipperspach, T. Kirihata, C. Kothandaraman, Y. Li, C. Paone, B. Reed, N. Robson, J. Safran, D. Schmitt, and S. Iyer, A commercial field-programmable dense eFUSE array memory with 99.999% sense yield for 45 nm SOI CMOS, in IEEE ISSCC Dig. Tech. Papers (2008), pp. 406–407
Zurück zum Zitat H.C.A. van Tilborg, S. Jajodia (eds.), Encyclopedia of Cryptography and Security (Springer, New York, 2005)MATH H.C.A. van Tilborg, S. Jajodia (eds.), Encyclopedia of Cryptography and Security (Springer, New York, 2005)MATH
Zurück zum Zitat O. Vermesan, P. Friess, Internet of Things—From Research and Innovation to Market Deployment (River Publishers, Netherlands, 2014) O. Vermesan, P. Friess, Internet of Things—From Research and Innovation to Market Deployment (River Publishers, Netherlands, 2014)
Zurück zum Zitat H.F. Wang, Y.L. Zhang, CAD/CAM Integrated System in Collaborative Development Environment. Robot Comput. Integrated Manuf. 18, 135–145 (2002)CrossRef H.F. Wang, Y.L. Zhang, CAD/CAM Integrated System in Collaborative Development Environment. Robot Comput. Integrated Manuf. 18, 135–145 (2002)CrossRef
Zurück zum Zitat K. Yano, T. Akitomi, K. Ara, J. Watanabe, S. Tsuji, N. Sato, M. Hayakawa, N. Moriwaki, Profiting From IoT: The Key Is Very-Large-Scale Happiness Integration, keynote at VLSI Symposium 2015 (2015) K. Yano, T. Akitomi, K. Ara, J. Watanabe, S. Tsuji, N. Sato, M. Hayakawa, N. Moriwaki, Profiting From IoT: The Key Is Very-Large-Scale Happiness Integration, keynote at VLSI Symposium 2015 (2015)
Zurück zum Zitat B. Zhang, N. Mor, J. Kolb, D. S. Chan, N. Goyal, K. Lutz, E. Allman, J. Wawrzynek, E. Lee, J. Kubiatowicz, The cloud is not enough: saving iot from the cloud, in HotCloud’15 Proceedings of the 7th USENIX Conference on Hot Topics in Cloud Computing, Santa Clara, USA (2015) B. Zhang, N. Mor, J. Kolb, D. S. Chan, N. Goyal, K. Lutz, E. Allman, J. Wawrzynek, E. Lee, J. Kubiatowicz, The cloud is not enough: saving iot from the cloud, in HotCloud’15 Proceedings of the 7th USENIX Conference on Hot Topics in Cloud Computing, Santa Clara, USA (2015)
Zurück zum Zitat W. Zhao, Y. Ha, M. Alioto, Novel self-body-biasing and statistical design for near-threshold circuits with ultra energy-efficient aes as case study. IEEE Trans. VLSI Syst. 23(8), 1390–1401 (2015)CrossRef W. Zhao, Y. Ha, M. Alioto, Novel self-body-biasing and statistical design for near-threshold circuits with ultra energy-efficient aes as case study. IEEE Trans. VLSI Syst. 23(8), 1390–1401 (2015)CrossRef
Zurück zum Zitat B. Zimmer, Y. Lee, A. Puggelli, J. Kwak, R. Jevtic, B. Keller, S. Bailey, M. Blagojevic, P.-F. Chiu, H.-P. Le, P.-H. Chen, N. Sutardja, R. Avizienis, A. Waterman, B. Richards, P. Flatresse, E. Alon, K. Asanovic, B. Nikolic, A RISC-V Vector Processor with Simultaneous-Switching Switched-Capacitor DC–DC Converters in 28 nm FDSOI. IEEE J. Solid State Circuits 51(4), 930–942 (2016)CrossRef B. Zimmer, Y. Lee, A. Puggelli, J. Kwak, R. Jevtic, B. Keller, S. Bailey, M. Blagojevic, P.-F. Chiu, H.-P. Le, P.-H. Chen, N. Sutardja, R. Avizienis, A. Waterman, B. Richards, P. Flatresse, E. Alon, K. Asanovic, B. Nikolic, A RISC-V Vector Processor with Simultaneous-Switching Switched-Capacitor DC–DC Converters in 28 nm FDSOI. IEEE J. Solid State Circuits 51(4), 930–942 (2016)CrossRef
Metadaten
Titel
IoT: Bird’s Eye View, Megatrends and Perspectives
verfasst von
Massimo Alioto
Copyright-Jahr
2017
DOI
https://doi.org/10.1007/978-3-319-51482-6_1

Neuer Inhalt