Skip to main content

2018 | OriginalPaper | Buchkapitel

Power-Delay Analysis for Subthreshold Voltage Operation

verfasst von : Hugo Cavalaria, Ruben Cabral, Jorge Semião, M. B. Santos, I. C. Teixeira, J. P. Teixeira

Erschienen in: INCREaSE

Verlag: Springer International Publishing

Aktivieren Sie unsere intelligente Suche, um passende Fachinhalte oder Patente zu finden.

search-config
loading …

Abstract

The Internet of Things (IoT) paradigm is enabling easy access and interaction with a wide variety of devices, some of them self-powered, equipped with microcontrollers, sensors and sensor networks. Low power and ultra-low-power strategies, as never before, have a huge importance in today’s CMOS integrated circuits, as all portable devices quest for the never-ending battery life, but also with smaller and smaller dimensions every day. The solution is to use clever power management strategies and reduce drastically power consumption in IoT chips. Dynamic Voltage and Frequency Scaling techniques can be rewardingly, and using operation at subthreshold power-supply voltages can effectively achieve significant power savings. However, by reducing the power-supply voltage it imposes the reduction of performance and, consequently, delay increase, which in turn makes the circuit more vulnerable to operational-induced delay-faults and transient-faults. What is the best compromise between power, delay and performance? This paper proposes an automatic methodology and tool to perform power-delay analysis in CMOS gates and circuits, to identify automatically the best compromise between power and delay. By instantiating HSPICE simulator, the proposed tool can automatically perform analysis such as: power-delay product, energy-delay product, power dissipation, or even dynamic and static power dissipations. The optimum operation point in respect to the power-supply voltage is defined, for each circuit or sub-circuit and considering subthreshold operation or not, to the minimum power-supply voltage where the delays do not increase too much and that implements a compromise between delay and power consumption. The algorithm is presented, along with CMOS circuit examples and all the analysis’ results are shown for typical benchmark circuits. Results indicate that subthreshold voltages can be a good compromise in reducing power and increasing delays.

Sie haben noch keine Lizenz? Dann Informieren Sie sich jetzt über unsere Produkte:

Springer Professional "Wirtschaft+Technik"

Online-Abonnement

Mit Springer Professional "Wirtschaft+Technik" erhalten Sie Zugriff auf:

  • über 102.000 Bücher
  • über 537 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Finance + Banking
  • Management + Führung
  • Marketing + Vertrieb
  • Maschinenbau + Werkstoffe
  • Versicherung + Risiko

Jetzt Wissensvorsprung sichern!

Springer Professional "Technik"

Online-Abonnement

Mit Springer Professional "Technik" erhalten Sie Zugriff auf:

  • über 67.000 Bücher
  • über 390 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Maschinenbau + Werkstoffe




 

Jetzt Wissensvorsprung sichern!

Springer Professional "Wirtschaft"

Online-Abonnement

Mit Springer Professional "Wirtschaft" erhalten Sie Zugriff auf:

  • über 67.000 Bücher
  • über 340 Zeitschriften

aus folgenden Fachgebieten:

  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Finance + Banking
  • Management + Führung
  • Marketing + Vertrieb
  • Versicherung + Risiko




Jetzt Wissensvorsprung sichern!

Literatur
1.
Zurück zum Zitat Calhoun, B.H., Chandrakasan, A.: Characterizing and modeling minimum energy operation for subthreshold circuits. In: International symposium on low power electronics and design, pp. 90–95, 2004 Calhoun, B.H., Chandrakasan, A.: Characterizing and modeling minimum energy operation for subthreshold circuits. In: International symposium on low power electronics and design, pp. 90–95, 2004
2.
Zurück zum Zitat Calhoun, Benton H., Wang, Alice, Chandrakasan, Anantha: Modeling and sizing for minimum energy operation in subthreshold circuits. IEEE J. Solid-State Circuits 40(9), 1778–1786 (2005). SepCrossRef Calhoun, Benton H., Wang, Alice, Chandrakasan, Anantha: Modeling and sizing for minimum energy operation in subthreshold circuits. IEEE J. Solid-State Circuits 40(9), 1778–1786 (2005). SepCrossRef
3.
Zurück zum Zitat Calhoun, B.H., Wang, A., Chandrakasan, A.: Device sizing for minimum operation in subthreshold circuits. In: Custom integrated circuits conference, 2004. Proceedings of the IEEE 2004 Calhoun, B.H., Wang, A., Chandrakasan, A.: Device sizing for minimum operation in subthreshold circuits. In: Custom integrated circuits conference, 2004. Proceedings of the IEEE 2004
4.
Zurück zum Zitat Calhoun, B.H., Wang, A., Verma, N., Chandrakasan, A.: Sub-threshold design: the challenges of minimizing circuit energy. In: Proceedings of the international symposium on low power electronics and design (ISLPED), Oct 2006 Calhoun, B.H., Wang, A., Verma, N., Chandrakasan, A.: Sub-threshold design: the challenges of minimizing circuit energy. In: Proceedings of the international symposium on low power electronics and design (ISLPED), Oct 2006
5.
Zurück zum Zitat Keller, S., Bhargav, S., Moore, C., Martin, A.J.: Reliable minimum energy CMOS circuit design. In: Vari’11: 2nd european workshop on CMOS variability, 2011 Keller, S., Bhargav, S., Moore, C., Martin, A.J.: Reliable minimum energy CMOS circuit design. In: Vari’11: 2nd european workshop on CMOS variability, 2011
6.
Zurück zum Zitat Radfar, M., Shah, K., Singh, J.: Recent subthreshold design techniques. Active and Passive Electronic Components, vol. 2012, Article ID 926753, 11 pages, 2012. doi:10.1155/2012/926753 Radfar, M., Shah, K., Singh, J.: Recent subthreshold design techniques. Active and Passive Electronic Components, vol. 2012, Article ID 926753, 11 pages, 2012. doi:10.​1155/​2012/​926753
7.
Zurück zum Zitat Kanitkar, H.: Subthreshold circuits: design, implementation and application (2008). Thesis, Rochester Institute of Technology Kanitkar, H.: Subthreshold circuits: design, implementation and application (2008). Thesis, Rochester Institute of Technology
8.
Zurück zum Zitat Yoo, H.J.: Dual vt self-timed CMOS logic for low subthreshold current multigigabit synchronous DRAM. IEEE Trans. Circ Sys-II: Analog Digital Signal Process 45(9), 1263–1271 (1998). SepCrossRef Yoo, H.J.: Dual vt self-timed CMOS logic for low subthreshold current multigigabit synchronous DRAM. IEEE Trans. Circ Sys-II: Analog Digital Signal Process 45(9), 1263–1271 (1998). SepCrossRef
9.
Zurück zum Zitat Hanson, S., Seok, M., Sylvester, D., Blaauw, D.: Nanometer device scaling in subthreshold logic and SRAM. IEEE Trans. Electron Devices 55, 175–185 (2008)CrossRef Hanson, S., Seok, M., Sylvester, D., Blaauw, D.: Nanometer device scaling in subthreshold logic and SRAM. IEEE Trans. Electron Devices 55, 175–185 (2008)CrossRef
10.
Zurück zum Zitat Chakraborty, Saurav, Mallik, Abhijit, Sarkar, Chandan Kumar: Subthreshold performance of dual-material gate CMOS devices and circuits for ultra-low power analog/mixed-signal applications. IEEE Trans. Electron Devices 55(3), 827–832 (2008). MarCrossRef Chakraborty, Saurav, Mallik, Abhijit, Sarkar, Chandan Kumar: Subthreshold performance of dual-material gate CMOS devices and circuits for ultra-low power analog/mixed-signal applications. IEEE Trans. Electron Devices 55(3), 827–832 (2008). MarCrossRef
11.
Zurück zum Zitat Do, A.V., Boon, C.C., Do, M.A., Yeo, K.S., Cabuk, A.: A subthreshold low-noise amplifier optimized for ultra-low-power applications in the ISM band. IEEE Trans. Microw. Theory Tech. 56(2), 286–292 (2008). FebCrossRef Do, A.V., Boon, C.C., Do, M.A., Yeo, K.S., Cabuk, A.: A subthreshold low-noise amplifier optimized for ultra-low-power applications in the ISM band. IEEE Trans. Microw. Theory Tech. 56(2), 286–292 (2008). FebCrossRef
12.
Zurück zum Zitat Giustolisi, G., Palumbo, G., Criscione, M., Cutri, F.: A low-voltage low-power voltage reference based on subthreshold MOSFETs. IEEE J. Solid-State Circuits 38(1), 151–154 (2003). JanuaryCrossRef Giustolisi, G., Palumbo, G., Criscione, M., Cutri, F.: A low-voltage low-power voltage reference based on subthreshold MOSFETs. IEEE J. Solid-State Circuits 38(1), 151–154 (2003). JanuaryCrossRef
13.
Zurück zum Zitat Kim, J.J., Roy, K.: Double gate MOSFET subthreshold circuit for ultralow power applications. IEEE Trans. Electron Devices 51(9), 1468–1474 (2004). SepCrossRef Kim, J.J., Roy, K.: Double gate MOSFET subthreshold circuit for ultralow power applications. IEEE Trans. Electron Devices 51(9), 1468–1474 (2004). SepCrossRef
14.
Zurück zum Zitat Numata, T., Takagi, S.: Device design for subthreshold slope and threshold voltage control in sub-100-nm fully depleted SOI MOSFETs. IEEE Trans. Electron Devices 51(12), 2161–2167 (2004). DecCrossRef Numata, T., Takagi, S.: Device design for subthreshold slope and threshold voltage control in sub-100-nm fully depleted SOI MOSFETs. IEEE Trans. Electron Devices 51(12), 2161–2167 (2004). DecCrossRef
15.
Zurück zum Zitat Li, MZ et al.: Sub-threshold standard cell library design for ultra-low power biomedical applications. In: Engineering in medicine and biology society (EMBC) 2013 35th annual international conference of the IEEE, pp. 1454, 2013 Li, MZ et al.: Sub-threshold standard cell library design for ultra-low power biomedical applications. In: Engineering in medicine and biology society (EMBC) 2013 35th annual international conference of the IEEE, pp. 1454, 2013
16.
Zurück zum Zitat Sahu, A., Eappen, G.: Sub-threshold logic and standard cell library. Int. J. Innovative Res. Sci., Eng. Technol. 3(1), Jan 2014 Sahu, A., Eappen, G.: Sub-threshold logic and standard cell library. Int. J. Innovative Res. Sci., Eng. Technol. 3(1), Jan 2014
17.
Zurück zum Zitat Martins, C.V., Semião, J., Vazquez, J.C., Champaq, V., Santos, M., Teixeira, I.C., Teixeira, J. P.: Adaptive error-prediction flip-flop for performance failure prediction with aging sensors. In: 29th IEEE VLSI test symposium 2011 (VTS’11), Dana Point, California, USA, 1–5 May 2011 Martins, C.V., Semião, J., Vazquez, J.C., Champaq, V., Santos, M., Teixeira, I.C., Teixeira, J. P.: Adaptive error-prediction flip-flop for performance failure prediction with aging sensors. In: 29th IEEE VLSI test symposium 2011 (VTS’11), Dana Point, California, USA, 1–5 May 2011
18.
Zurück zum Zitat Martins, C., Pachito, J., Semião, J., Teixeira, I.C., Teixeira, J.P.: Adaptive error-prediction aging sensor for on-line monitoring of performance errors. In: Proceedings of the 26th conference on design of circuits and integrated systems—DCIS’2011, Albufeira, Portugal, 16–18 Nov 2011 Martins, C., Pachito, J., Semião, J., Teixeira, I.C., Teixeira, J.P.: Adaptive error-prediction aging sensor for on-line monitoring of performance errors. In: Proceedings of the 26th conference on design of circuits and integrated systems—DCIS’2011, Albufeira, Portugal, 16–18 Nov 2011
19.
Zurück zum Zitat Semiao, J., Pachito, C., Martins, B., Jacinto, J., Vazquez, V., Champac, M., Santos, I., Teixeira, J.: Aging-aware power or frequency tuning with predictive fault detection. IEEE Des. Test Comput. 29(5) (2012). doi:10.1109/MDT.2012.2206009 Semiao, J., Pachito, C., Martins, B., Jacinto, J., Vazquez, V., Champac, M., Santos, I., Teixeira, J.: Aging-aware power or frequency tuning with predictive fault detection. IEEE Des. Test Comput. 29(5) (2012). doi:10.​1109/​MDT.​2012.​2206009
20.
Zurück zum Zitat Gochman, S., Ronen, R., Anati, I., Berkovits, A., Kurts, T., Naveh, A., Saeed, A., Sperber, Z., Valentine, R.C.: The Intel® Pentium® M Processor: microarchitecture and performance Intel® Technology Journal, 7(2), Mai 2003 Gochman, S., Ronen, R., Anati, I., Berkovits, A., Kurts, T., Naveh, A., Saeed, A., Sperber, Z., Valentine, R.C.: The Intel® Pentium® M Processor: microarchitecture and performance Intel® Technology Journal, 7(2), Mai 2003
22.
Zurück zum Zitat Ernst, D., Kim, N.S., Das, S., Pant, S., Rao, R., Pham, T., Ziesler, C., Blaauw, D., Austin, T., Flautner, K., Mudge, T.: Razor: a low-power pipeline based on circuit-level timing speculation. In: 2003. MICRO-36. Proceedings. 36th Annual IEEE/ACM international symposium on microarchitecture, Dec 2003 Ernst, D., Kim, N.S., Das, S., Pant, S., Rao, R., Pham, T., Ziesler, C., Blaauw, D., Austin, T., Flautner, K., Mudge, T.: Razor: a low-power pipeline based on circuit-level timing speculation. In: 2003. MICRO-36. Proceedings. 36th Annual IEEE/ACM international symposium on microarchitecture, Dec 2003
23.
Zurück zum Zitat Das, S., Tokunaga, C., Pant, S., Ma, W.H., Kalaiselvan, S., Lai, K., Bull, D.M., Blaauw, D.T.: RazorII: In situ error detection and correction for PVT and SER tolerance. IEEE J. Solid-State Circuits 44(1), Jan 2009 Das, S., Tokunaga, C., Pant, S., Ma, W.H., Kalaiselvan, S., Lai, K., Bull, D.M., Blaauw, D.T.: RazorII: In situ error detection and correction for PVT and SER tolerance. IEEE J. Solid-State Circuits 44(1), Jan 2009
24.
Zurück zum Zitat Zhai, B., Blaauw, D., Sylvester, D., Flautner, K.: Theoretical and practical limits of dynamic voltage scaling. In: Proc. ACM/IEEE design automation conference (DAC), June 2004 Zhai, B., Blaauw, D., Sylvester, D., Flautner, K.: Theoretical and practical limits of dynamic voltage scaling. In: Proc. ACM/IEEE design automation conference (DAC), June 2004
25.
Zurück zum Zitat Khursheed, S., Ingelsson, U., Rosinger, P., Al-Hashimi, B.M., Harrod, P.: Bridging fault test method with adaptive power management awareness. IEEE Transac. CAD Integr. Circuits Sys. 27(6), 1117–1127 (2008)CrossRef Khursheed, S., Ingelsson, U., Rosinger, P., Al-Hashimi, B.M., Harrod, P.: Bridging fault test method with adaptive power management awareness. IEEE Transac. CAD Integr. Circuits Sys. 27(6), 1117–1127 (2008)CrossRef
26.
Zurück zum Zitat Semião, J., Cabral, R., Santos, M.B., Teixeira, I.C., Teixeira, J.P.: Dynamic voltage and frequency scaling for long-term and fail-safe operation. In: The finale workshop on manufacturable and dependable multicore architectures at nanoscale (MEDIAN Finale’15), Tallinn, Estonia, 10–11 Nov 2015 Semião, J., Cabral, R., Santos, M.B., Teixeira, I.C., Teixeira, J.P.: Dynamic voltage and frequency scaling for long-term and fail-safe operation. In: The finale workshop on manufacturable and dependable multicore architectures at nanoscale (MEDIAN Finale’15), Tallinn, Estonia, 10–11 Nov 2015
27.
Zurück zum Zitat Markovic, D., Stojanovic, V., Nikolic, B., Horowitz, M.A., Brodersen, R.W.: Methods for true energy-performance optimization. IEEE J. Solid-State Circuits 39(8), Aug 2004 Markovic, D., Stojanovic, V., Nikolic, B., Horowitz, M.A., Brodersen, R.W.: Methods for true energy-performance optimization. IEEE J. Solid-State Circuits 39(8), Aug 2004
28.
Zurück zum Zitat Burr, J., Peterson, A.M.: Ultra low power CMOS technology. In Proc. NASA VLSI design symp., pp. 4.2.1–4.2.13, Oct 1991 Burr, J., Peterson, A.M.: Ultra low power CMOS technology. In Proc. NASA VLSI design symp., pp. 4.2.1–4.2.13, Oct 1991
29.
Zurück zum Zitat Gonzalez, R., Gordon, B., Horowitz, M.A.: Supply and threshold voltage scaling for low power CMOS. IEEE J. Solid-State Circuits 32, 1210–1216 (1997). AugCrossRef Gonzalez, R., Gordon, B., Horowitz, M.A.: Supply and threshold voltage scaling for low power CMOS. IEEE J. Solid-State Circuits 32, 1210–1216 (1997). AugCrossRef
30.
Zurück zum Zitat Penzes, P.I., Martin, A.J.: Energy-delay efficiency of VLSI computations. In Proc. great lakes symp. VLSI, pp. 104–111, Apr 2002 Penzes, P.I., Martin, A.J.: Energy-delay efficiency of VLSI computations. In Proc. great lakes symp. VLSI, pp. 104–111, Apr 2002
31.
Zurück zum Zitat Hofstee, H.P.: Power-constrained microprocessor design. In: Proc. Int. Conf. computer design, pp. 14–16, Sep 2002 Hofstee, H.P.: Power-constrained microprocessor design. In: Proc. Int. Conf. computer design, pp. 14–16, Sep 2002
Metadaten
Titel
Power-Delay Analysis for Subthreshold Voltage Operation
verfasst von
Hugo Cavalaria
Ruben Cabral
Jorge Semião
M. B. Santos
I. C. Teixeira
J. P. Teixeira
Copyright-Jahr
2018
DOI
https://doi.org/10.1007/978-3-319-70272-8_30