Skip to main content
Erschienen in: International Journal of Parallel Programming 5/2017

27.09.2016

Energy-Aware Modeling of Scaled Heterogeneous Systems

verfasst von: Ami Marowka

Erschienen in: International Journal of Parallel Programming | Ausgabe 5/2017

Einloggen

Aktivieren Sie unsere intelligente Suche, um passende Fachinhalte oder Patente zu finden.

search-config
loading …

Abstract

Many-core processors are accelerating the performance of contemporary high-performance systems. Managing power consumption within these systems demands low-power architectures to increase power savings. One of the promising solutions offered today by microprocessor architects is asymmetric microprocessors that integrate different core architectures on a single die. This paper presents analytical models based on scaled power metrics to analyze the impact of various architectural design choices on scaled performance and power savings. The power consumption implications of different processing schemes and various chip configurations were also analyzed. Analysis shows that by choosing the optimal chip configuration, energy efficiency and energy savings can be increased considerably.

Sie haben noch keine Lizenz? Dann Informieren Sie sich jetzt über unsere Produkte:

Springer Professional "Wirtschaft+Technik"

Online-Abonnement

Mit Springer Professional "Wirtschaft+Technik" erhalten Sie Zugriff auf:

  • über 102.000 Bücher
  • über 537 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Finance + Banking
  • Management + Führung
  • Marketing + Vertrieb
  • Maschinenbau + Werkstoffe
  • Versicherung + Risiko

Jetzt Wissensvorsprung sichern!

Springer Professional "Wirtschaft"

Online-Abonnement

Mit Springer Professional "Wirtschaft" erhalten Sie Zugriff auf:

  • über 67.000 Bücher
  • über 340 Zeitschriften

aus folgenden Fachgebieten:

  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Finance + Banking
  • Management + Führung
  • Marketing + Vertrieb
  • Versicherung + Risiko




Jetzt Wissensvorsprung sichern!

Springer Professional "Technik"

Online-Abonnement

Mit Springer Professional "Technik" erhalten Sie Zugriff auf:

  • über 67.000 Bücher
  • über 390 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Maschinenbau + Werkstoffe




 

Jetzt Wissensvorsprung sichern!

Literatur
1.
Zurück zum Zitat Moore, G.: Cramming more components onto integrated circuits. Electronics 38(8), 114–117 (1965) Moore, G.: Cramming more components onto integrated circuits. Electronics 38(8), 114–117 (1965)
2.
Zurück zum Zitat Woo, D.H., Lee, H.S.: Extending Amdahl’s law for energy-efficient computing in the many-core era. IEEE Comput. 38(11), 32–38 (2005)CrossRef Woo, D.H., Lee, H.S.: Extending Amdahl’s law for energy-efficient computing in the many-core era. IEEE Comput. 38(11), 32–38 (2005)CrossRef
3.
Zurück zum Zitat Kumar, R., et al.: Heterogeneous chip multiprocessors. IEEE Comput. 38(11), 32–38 (2005)CrossRef Kumar, R., et al.: Heterogeneous chip multiprocessors. IEEE Comput. 38(11), 32–38 (2005)CrossRef
5.
Zurück zum Zitat Kogge, P., et al.: Exascale Computing Study: Technology Challenges in Achieving Exascale Systems. DARPA, Washington (2008) Kogge, P., et al.: Exascale Computing Study: Technology Challenges in Achieving Exascale Systems. DARPA, Washington (2008)
6.
Zurück zum Zitat Fuller, S.H., Millett, L.I.: Computing performance: game over or next level? IEEE Comput. 44(1), 31–38 (2011)CrossRef Fuller, S.H., Millett, L.I.: Computing performance: game over or next level? IEEE Comput. 44(1), 31–38 (2011)CrossRef
7.
Zurück zum Zitat Borkar, S.: Thousand Core Chips: A Technology Perspective. In: Proceedings of 44th Design Automation Conference (DAC 07), ACM Press, pp. 746–749 (2007) Borkar, S.: Thousand Core Chips: A Technology Perspective. In: Proceedings of 44th Design Automation Conference (DAC 07), ACM Press, pp. 746–749 (2007)
8.
Zurück zum Zitat Marowka, A.: Back to thin-core massively parallel processors. IEEE Comput. 44(12), 49–54 (2011)CrossRef Marowka, A.: Back to thin-core massively parallel processors. IEEE Comput. 44(12), 49–54 (2011)CrossRef
9.
Zurück zum Zitat Krishnamurthy, R.K., Kaul, H.: Ultra-low voltage technologies for energy-efficient special-purpose hardware accelerators. Intel Technol. J. 13(4), 100–117 (2009) Krishnamurthy, R.K., Kaul, H.: Ultra-low voltage technologies for energy-efficient special-purpose hardware accelerators. Intel Technol. J. 13(4), 100–117 (2009)
10.
Zurück zum Zitat Hillis, D.: The Pattern on the Stone: The Simple Ideas that Make Computers Work. Basic Books, New York (1998) Hillis, D.: The Pattern on the Stone: The Simple Ideas that Make Computers Work. Basic Books, New York (1998)
12.
Zurück zum Zitat Amdahl, G.M.: Validity of the Single-Processor Approach to Achieving Large-Scale Computing Capabilities. In: Proceedings of American Federation of Information Processing Societies, AFIPS Press, pp. 483–485 (1967) Amdahl, G.M.: Validity of the Single-Processor Approach to Achieving Large-Scale Computing Capabilities. In: Proceedings of American Federation of Information Processing Societies, AFIPS Press, pp. 483–485 (1967)
13.
Zurück zum Zitat Gustafson, J.L.: Reevaluating Amdahl’s Law. Communications of the ACM, pp. 532–533 (1988) Gustafson, J.L.: Reevaluating Amdahl’s Law. Communications of the ACM, pp. 532–533 (1988)
14.
Zurück zum Zitat Gustafson, J.L.: The consequences of fixed time performance measurement. Proceedings of the Twenty-Fifth Hawaii International Conference on System Sciences, vol. 2, pp. 113–124 (1992) Gustafson, J.L.: The consequences of fixed time performance measurement. Proceedings of the Twenty-Fifth Hawaii International Conference on System Sciences, vol. 2, pp. 113–124 (1992)
15.
Zurück zum Zitat Marowka, A.: Analytical modeling of energy efficiency in heterogeneous processors. Comput. Electr. Eng. J. 39(8), 2566–2578 (2013)CrossRef Marowka, A.: Analytical modeling of energy efficiency in heterogeneous processors. Comput. Electr. Eng. J. 39(8), 2566–2578 (2013)CrossRef
16.
Zurück zum Zitat Marowka, A.: Extending Amdahl’s law for heterogeneous computing. In: Proceeding of the 2012 10th IEEE International Symposium on Parallel and Distributed Processing with Applications (ISPA-2012), pp. 309–316 Marowka, A.: Extending Amdahl’s law for heterogeneous computing. In: Proceeding of the 2012 10th IEEE International Symposium on Parallel and Distributed Processing with Applications (ISPA-2012), pp. 309–316
17.
Zurück zum Zitat Marowka, A.: Modeling the effects of DFS on power consumption in hybrid chip multiprocessors. In: Proceeding of 1st International Workshop on Energy Efficient SuperComputing (E2SC) Held in Conjunction with SC’13, Denver, Colorado, USA, November, 17–22, 2013, ACM digital library Marowka, A.: Modeling the effects of DFS on power consumption in hybrid chip multiprocessors. In: Proceeding of 1st International Workshop on Energy Efficient SuperComputing (E2SC) Held in Conjunction with SC’13, Denver, Colorado, USA, November, 17–22, 2013, ACM digital library
18.
Zurück zum Zitat Hill, M.D., Marty, M.R.: Amdahl’s law in the multicore era. IEEE Comput. 41(7), 33–38 (2008) Hill, M.D., Marty, M.R.: Amdahl’s law in the multicore era. IEEE Comput. 41(7), 33–38 (2008)
19.
Zurück zum Zitat Sun, X.H., Chen, Y.: Reevaluating Amdahl’s law in the multicore era. J. Parallel Distrib. Comput. 70, 183–188 (2010)CrossRefMATH Sun, X.H., Chen, Y.: Reevaluating Amdahl’s law in the multicore era. J. Parallel Distrib. Comput. 70, 183–188 (2010)CrossRefMATH
20.
Zurück zum Zitat Esmaeilzadeh, H., Blem, E., St. Amant, R., Sankaralingam, K., Burger, D.C.: Dark silicon and the end of multicore scaling. In: Proceeding of 38th International Symposium on Computer Architecture (ISCA), pp. 365–376 (2011) Esmaeilzadeh, H., Blem, E., St. Amant, R., Sankaralingam, K., Burger, D.C.: Dark silicon and the end of multicore scaling. In: Proceeding of 38th International Symposium on Computer Architecture (ISCA), pp. 365–376 (2011)
21.
Zurück zum Zitat Cho, S., Melhem, R.G.: Corollaries to Amdahl’s law for energy. IEEE Comput. Archit. Lett. 7(1), 25–28 (2008)CrossRef Cho, S., Melhem, R.G.: Corollaries to Amdahl’s law for energy. IEEE Comput. Archit. Lett. 7(1), 25–28 (2008)CrossRef
22.
Zurück zum Zitat Cho, S., Melhem, R.G.: On the interplay of parallelization, program performance, and energy consumption. IEEE Trans. Parallel Distrib. Syst. 21(3), 342–353 (2010)CrossRef Cho, S., Melhem, R.G.: On the interplay of parallelization, program performance, and energy consumption. IEEE Trans. Parallel Distrib. Syst. 21(3), 342–353 (2010)CrossRef
23.
Zurück zum Zitat Hong, S., Kim, H.: An integrated GPU power and performance model. In: Proceeding of ISCA10, ACM, pp. 19–23 (2010) Hong, S., Kim, H.: An integrated GPU power and performance model. In: Proceeding of ISCA10, ACM, pp. 19–23 (2010)
24.
Zurück zum Zitat Pei, S., Zhang, J., Xiong, N., Kim M.-S., Gaudiot J.-L.: Performance-energy efficiency model of heterogeneous parallel multicore system. In: Green and Sustainable Computing Conference (IGSC), pp. 1–6 (2015) Pei, S., Zhang, J., Xiong, N., Kim M.-S., Gaudiot J.-L.: Performance-energy efficiency model of heterogeneous parallel multicore system. In: Green and Sustainable Computing Conference (IGSC), pp. 1–6 (2015)
25.
Zurück zum Zitat Karanikolaou, E.M., Milovanovic, E.I., Milovanovic, I.Z., Bekakos, M.P.: Performance scalability and energy consumption on distributed and many-core platforms. J. Supercomput. 70(1), 349–364 (2014)CrossRef Karanikolaou, E.M., Milovanovic, E.I., Milovanovic, I.Z., Bekakos, M.P.: Performance scalability and energy consumption on distributed and many-core platforms. J. Supercomput. 70(1), 349–364 (2014)CrossRef
26.
Zurück zum Zitat Kim, S.H., Kim, D., Lee, C., Jeong, W.S., Ro, W.W., Gaudiot, J.L.: A performance-energy model to evaluate single thread execution acceleration. Comput. Archit. Lett. 14(99), 1–4 (2014) Kim, S.H., Kim, D., Lee, C., Jeong, W.S., Ro, W.W., Gaudiot, J.L.: A performance-energy model to evaluate single thread execution acceleration. Comput. Archit. Lett. 14(99), 1–4 (2014)
27.
Zurück zum Zitat Lee, V.W. et al.: Debunking the 100X GPU vs. CPU myth: an evaluation of throughput computing on CPU and GPU. In ISCA’10 Proceedings of the 37th Annual International Symposium on Computer Architecture (2010) Lee, V.W. et al.: Debunking the 100X GPU vs. CPU myth: an evaluation of throughput computing on CPU and GPU. In ISCA’10 Proceedings of the 37th Annual International Symposium on Computer Architecture (2010)
Metadaten
Titel
Energy-Aware Modeling of Scaled Heterogeneous Systems
verfasst von
Ami Marowka
Publikationsdatum
27.09.2016
Verlag
Springer US
Erschienen in
International Journal of Parallel Programming / Ausgabe 5/2017
Print ISSN: 0885-7458
Elektronische ISSN: 1573-7640
DOI
https://doi.org/10.1007/s10766-016-0453-2

Weitere Artikel der Ausgabe 5/2017

International Journal of Parallel Programming 5/2017 Zur Ausgabe