Skip to main content
Erschienen in: Journal of Electronic Testing 2-3/2009

01.06.2009

Soft Error Rate Reduction Using Circuit Optimization and Transient Filter Insertion

verfasst von: Mihir R. Choudhury, Quming Zhou, Kartik Mohanram

Erschienen in: Journal of Electronic Testing | Ausgabe 2-3/2009

Einloggen

Aktivieren Sie unsere intelligente Suche, um passende Fachinhalte oder Patente zu finden.

search-config
loading …

Abstract

This paper describes a tunable transient filter (TTF) design for soft error rate reduction in combinational logic circuits. TTFs can be inserted into combinational circuits to suppress propagated single-event transients (SETs) before they can be captured in latches or flip-flops. TTFs are tuned by adjusting the maximum width of the propagated SET that can be suppressed. A TTF requires 6–14 transistors, making it an attractive cost-effective option to reduce the soft error rate in combinational circuits. A global optimization approach based on geometric programming that integrates TTF insertion with dual-V DD and gate sizing is described. Simulation results for the 65 nm process technology indicate that a 17–48× reduction in the soft error rate can be achieved with this approach.

Sie haben noch keine Lizenz? Dann Informieren Sie sich jetzt über unsere Produkte:

Springer Professional "Wirtschaft+Technik"

Online-Abonnement

Mit Springer Professional "Wirtschaft+Technik" erhalten Sie Zugriff auf:

  • über 102.000 Bücher
  • über 537 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Finance + Banking
  • Management + Führung
  • Marketing + Vertrieb
  • Maschinenbau + Werkstoffe
  • Versicherung + Risiko

Jetzt Wissensvorsprung sichern!

Springer Professional "Technik"

Online-Abonnement

Mit Springer Professional "Technik" erhalten Sie Zugriff auf:

  • über 67.000 Bücher
  • über 390 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Maschinenbau + Werkstoffe




 

Jetzt Wissensvorsprung sichern!

Springer Professional "Wirtschaft"

Online-Abonnement

Mit Springer Professional "Wirtschaft" erhalten Sie Zugriff auf:

  • über 67.000 Bücher
  • über 340 Zeitschriften

aus folgenden Fachgebieten:

  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Finance + Banking
  • Management + Führung
  • Marketing + Vertrieb
  • Versicherung + Risiko




Jetzt Wissensvorsprung sichern!

Weitere Produktempfehlungen anzeigen
Literatur
1.
Zurück zum Zitat Agrawal V (1997) Low-power design by hazard filtering. In: Proc intl conference on VLSI design, Hyderabad, 4–7 January 1997, pp 193–197 Agrawal V (1997) Low-power design by hazard filtering. In: Proc intl conference on VLSI design, Hyderabad, 4–7 January 1997, pp 193–197
2.
Zurück zum Zitat Almukhaizim S, et al (2006) Seamless integration of SER in rewiring-based design space exploration. In: Proc intl test conference, Santa Clara, 24–26 October 2006 Almukhaizim S, et al (2006) Seamless integration of SER in rewiring-based design space exploration. In: Proc intl test conference, Santa Clara, 24–26 October 2006
3.
Zurück zum Zitat Baumann R (2004) Soft errors in advanced computer systems. IEEE Des Test Comput 22:258–266CrossRef Baumann R (2004) Soft errors in advanced computer systems. IEEE Des Test Comput 22:258–266CrossRef
6.
Zurück zum Zitat Cao Y et al (2000) New paradigm of predictive MOSFET and interconnect modeling for early circuit design. In: Proc custom integrated circuits conference, Orlando, 21–24 May 2000, pp 201–204 Cao Y et al (2000) New paradigm of predictive MOSFET and interconnect modeling for early circuit design. In: Proc custom integrated circuits conference, Orlando, 21–24 May 2000, pp 201–204
7.
Zurück zum Zitat Cazeaux JM et al (2005) On transistor level gate sizing for increased robustness to transient faults. In: Proc intl on-line testing symposium, Saint Raphael, 6–8 July 2005, pp 23–28 Cazeaux JM et al (2005) On transistor level gate sizing for increased robustness to transient faults. In: Proc intl on-line testing symposium, Saint Raphael, 6–8 July 2005, pp 23–28
8.
Zurück zum Zitat Choudhury MR, Zhou Q, Mohanram K (2006) Design optimization for single-event upset robustness using simultaneous dual-V DD and sizing techniques. In: Proc intl conference computer-aided design, San Jose, 5–9 November 2006, pp 204–209 Choudhury MR, Zhou Q, Mohanram K (2006) Design optimization for single-event upset robustness using simultaneous dual-V DD and sizing techniques. In: Proc intl conference computer-aided design, San Jose, 5–9 November 2006, pp 204–209
9.
Zurück zum Zitat Deogun HS, Sylvester D, Blaauw D (2005) Gate-level mitigation techniques for neutron-induced soft error rate. In: Proc intl symposium on quality electronic design, San Jose, 21–23 March 2005, pp 175–180 Deogun HS, Sylvester D, Blaauw D (2005) Gate-level mitigation techniques for neutron-induced soft error rate. In: Proc intl symposium on quality electronic design, San Jose, 21–23 March 2005, pp 175–180
10.
Zurück zum Zitat Dhillon YS et al (2005) Load and logic co-optimization for design of soft-error resistant nanometer CMOS circuits. In: Proc intl on-line testing symposium, Saint Raphael, 6–8 July 2005, pp 35–40 Dhillon YS et al (2005) Load and logic co-optimization for design of soft-error resistant nanometer CMOS circuits. In: Proc intl on-line testing symposium, Saint Raphael, 6–8 July 2005, pp 35–40
11.
Zurück zum Zitat Dodd PE, Massengill LW (2003) Basic mechanisms and modeling of single-event upset in digital microelectronics. IEEE Trans Nucl Sci 50:583–602CrossRef Dodd PE, Massengill LW (2003) Basic mechanisms and modeling of single-event upset in digital microelectronics. IEEE Trans Nucl Sci 50:583–602CrossRef
12.
Zurück zum Zitat Garg R et al (2006) A design approach for radiation-hard digital electronics. In: Proc design automation conference, Anaheim, 24–28 July 2006, pp 773–778 Garg R et al (2006) A design approach for radiation-hard digital electronics. In: Proc design automation conference, Anaheim, 24–28 July 2006, pp 773–778
13.
Zurück zum Zitat Gill BS et al (2005) Node sensitivity analysis for soft errors in CMOS logic. In: Proc intl test conference, pp 1–9 Gill BS et al (2005) Node sensitivity analysis for soft errors in CMOS logic. In: Proc intl test conference, pp 1–9
14.
Zurück zum Zitat Hazucha P, Svensson C (2000) Impact of CMOS technology scaling on the atmospheric neutron soft error rate. IEEE Trans Nucl Sci 47:2586–2594CrossRef Hazucha P, Svensson C (2000) Impact of CMOS technology scaling on the atmospheric neutron soft error rate. IEEE Trans Nucl Sci 47:2586–2594CrossRef
15.
Zurück zum Zitat Karnik T et al (2002) Selective node engineering for chip-level soft error rate improvement. In: Symp. on VLSI circuits digest of technical papers, pp 204–205 Karnik T et al (2002) Selective node engineering for chip-level soft error rate improvement. In: Symp. on VLSI circuits digest of technical papers, pp 204–205
16.
Zurück zum Zitat Krishnamohan S, Mahapatra NR (2005) Combining error masking and error detection plus recovery to combat soft errors in static CMOS circuits. In: Proc intl conference on dependable systems and networks, pp 40–49 Krishnamohan S, Mahapatra NR (2005) Combining error masking and error detection plus recovery to combat soft errors in static CMOS circuits. In: Proc intl conference on dependable systems and networks, pp 40–49
17.
Zurück zum Zitat Kumar J et al (2005) Use of pass transistor logic to minimize the impact of soft errors in combinational circuits. In: Proc of workshop on SELSE Kumar J et al (2005) Use of pass transistor logic to minimize the impact of soft errors in combinational circuits. In: Proc of workshop on SELSE
19.
Zurück zum Zitat Lazzari C et al (2005) On implementing a soft error hardening technique by using an automatic layout generator: case study. In: Proc intl on-line testing symposium, pp. 29–34 Lazzari C et al (2005) On implementing a soft error hardening technique by using an automatic layout generator: case study. In: Proc intl on-line testing symposium, pp. 29–34
20.
Zurück zum Zitat Mavis DG, Eaton PH (2002) Soft error rate mitigation techniques for modern microcircuits. In: Proc intl reliability physics symposium, pp 216–225 Mavis DG, Eaton PH (2002) Soft error rate mitigation techniques for modern microcircuits. In: Proc intl reliability physics symposium, pp 216–225
21.
Zurück zum Zitat Mitra S et al (2005) Robust system design with built-in soft error resilience. IEEE Comput 38:43–52 Mitra S et al (2005) Robust system design with built-in soft error resilience. IEEE Comput 38:43–52
22.
Zurück zum Zitat Mitra S et al (2006) Combinational logic soft error correction. In: Proc intl test conference, pp 1–9 Mitra S et al (2006) Combinational logic soft error correction. In: Proc intl test conference, pp 1–9
23.
Zurück zum Zitat Mohanram K, Touba NA (2003) Cost-effective approach for reducing soft error failure rate in logic circuits. In: Proc intl test conference, pp 893–901 Mohanram K, Touba NA (2003) Cost-effective approach for reducing soft error failure rate in logic circuits. In: Proc intl test conference, pp 893–901
25.
Zurück zum Zitat Nicolaidis M (1999) Time redundancy based soft-error tolerance to rescue nanometer technologies. In: Proc VLSI test symposium, pp 86–94 Nicolaidis M (1999) Time redundancy based soft-error tolerance to rescue nanometer technologies. In: Proc VLSI test symposium, pp 86–94
26.
Zurück zum Zitat Omana M, Rossi D, Metra C (2007) Latch susceptibility to transient faults and new hardening approach. IEEE Trans Comput 56(9):1255–1268CrossRefMathSciNet Omana M, Rossi D, Metra C (2007) Latch susceptibility to transient faults and new hardening approach. IEEE Trans Comput 56(9):1255–1268CrossRefMathSciNet
27.
Zurück zum Zitat Rao RR, Blaauw D, Sylvester D (2006) Soft error reduction in combinational logic using gate resizing and flip-flop selection. In: Proc intl conference computer-aided design, pp 502–509 Rao RR, Blaauw D, Sylvester D (2006) Soft error reduction in combinational logic using gate resizing and flip-flop selection. In: Proc intl conference computer-aided design, pp 502–509
28.
Zurück zum Zitat Sapatnekar S (2004) Timing. Kluwer Academic, DordrechtMATH Sapatnekar S (2004) Timing. Kluwer Academic, DordrechtMATH
29.
Zurück zum Zitat Sasaki Y et al (2008) Circuit and latch capable of masking soft errors with schmitt trigger. J Electron Test 1–3(24):11–19CrossRef Sasaki Y et al (2008) Circuit and latch capable of masking soft errors with schmitt trigger. J Electron Test 1–3(24):11–19CrossRef
30.
Zurück zum Zitat Tang HHK, Rodbell KP (2003) Single-event upsets in microelectronics: fundamental physics and issues. Mater Res Soc Bull 28:111–116 Tang HHK, Rodbell KP (2003) Single-event upsets in microelectronics: fundamental physics and issues. Mater Res Soc Bull 28:111–116
31.
Zurück zum Zitat Walstra SV, Dai C (2005) Circuit-level modeling of soft errors in integrated circuits. IEEE Trans Device Mater Reliab 5:358–364CrossRef Walstra SV, Dai C (2005) Circuit-level modeling of soft errors in integrated circuits. IEEE Trans Device Mater Reliab 5:358–364CrossRef
32.
Zurück zum Zitat Wu K-C et al (2008) Soft error rate reduction using redundancy addition and removal. In: Proc Asia and South Pacific design automation conference, pp 559–564 Wu K-C et al (2008) Soft error rate reduction using redundancy addition and removal. In: Proc Asia and South Pacific design automation conference, pp 559–564
33.
Zurück zum Zitat Yang S (1991) Logic synthesis and optimization benchmarks user guide. Tech. rep. 1991-IWLS-UG-Saeyang, MCNC, Research Triangle Park Yang S (1991) Logic synthesis and optimization benchmarks user guide. Tech. rep. 1991-IWLS-UG-Saeyang, MCNC, Research Triangle Park
34.
Zurück zum Zitat Zhou Q, Choudhury MR, Mohanram K (2008) Tunable transient filters for soft error rate reduction in combinational circuits. In: Proc European test symposium, pp 179–184 Zhou Q, Choudhury MR, Mohanram K (2008) Tunable transient filters for soft error rate reduction in combinational circuits. In: Proc European test symposium, pp 179–184
35.
Zurück zum Zitat Zhou Q, Mohanram K (2004) Transistor sizing for radiation hardening. In: Proc intl reliability physics symposium, pp 310–315 Zhou Q, Mohanram K (2004) Transistor sizing for radiation hardening. In: Proc intl reliability physics symposium, pp 310–315
36.
Zurück zum Zitat Zhou Q, Mohanram K (2004) Cost-effective radiation hardening technique for logic circuits. In: Proc intl conference computer-aided design, pp 100–106 Zhou Q, Mohanram K (2004) Cost-effective radiation hardening technique for logic circuits. In: Proc intl conference computer-aided design, pp 100–106
37.
Zurück zum Zitat Zhou Q, Mohanram K (2006) Gate sizing to radiation harden combinational logic. IEEE Trans Comput-Aided Des 25:155–166CrossRef Zhou Q, Mohanram K (2006) Gate sizing to radiation harden combinational logic. IEEE Trans Comput-Aided Des 25:155–166CrossRef
Metadaten
Titel
Soft Error Rate Reduction Using Circuit Optimization and Transient Filter Insertion
verfasst von
Mihir R. Choudhury
Quming Zhou
Kartik Mohanram
Publikationsdatum
01.06.2009
Verlag
Springer US
Erschienen in
Journal of Electronic Testing / Ausgabe 2-3/2009
Print ISSN: 0923-8174
Elektronische ISSN: 1573-0727
DOI
https://doi.org/10.1007/s10836-009-5103-9

Weitere Artikel der Ausgabe 2-3/2009

Journal of Electronic Testing 2-3/2009 Zur Ausgabe

Neuer Inhalt