Skip to main content
Erschienen in: Journal of Electronic Testing 5/2010

01.10.2010

Efficient Concurrent Self-Test with Partially Specified Patterns

verfasst von: Michael A. Kochte, Christian G. Zoellin, Hans-Joachim Wunderlich

Erschienen in: Journal of Electronic Testing | Ausgabe 5/2010

Einloggen

Aktivieren Sie unsere intelligente Suche, um passende Fachinhalte oder Patente zu finden.

search-config
loading …

Abstract

Structural on-line self-test may be performed to detect permanent faults and avoid their accumulation in the system. This paper improves existing techniques for concurrent BIST that are based on a deterministic test set. Here, the test patterns are specially generated with a small number of specified bits. This results in very low test length and fault detection latency, which allows to frequently test critical faults. As a consequence, the likelihood of fault accumulation is reduced. Experiments with benchmark circuits show that the hardware overhead is significantly lower than the overhead of the state of the art. Moreover, a case-study on a super-scalar RISC processor demonstrates the feasibility of the method.

Sie haben noch keine Lizenz? Dann Informieren Sie sich jetzt über unsere Produkte:

Springer Professional "Wirtschaft+Technik"

Online-Abonnement

Mit Springer Professional "Wirtschaft+Technik" erhalten Sie Zugriff auf:

  • über 102.000 Bücher
  • über 537 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Finance + Banking
  • Management + Führung
  • Marketing + Vertrieb
  • Maschinenbau + Werkstoffe
  • Versicherung + Risiko

Jetzt Wissensvorsprung sichern!

Springer Professional "Technik"

Online-Abonnement

Mit Springer Professional "Technik" erhalten Sie Zugriff auf:

  • über 67.000 Bücher
  • über 390 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Maschinenbau + Werkstoffe




 

Jetzt Wissensvorsprung sichern!

Springer Professional "Wirtschaft"

Online-Abonnement

Mit Springer Professional "Wirtschaft" erhalten Sie Zugriff auf:

  • über 67.000 Bücher
  • über 340 Zeitschriften

aus folgenden Fachgebieten:

  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Finance + Banking
  • Management + Führung
  • Marketing + Vertrieb
  • Versicherung + Risiko




Jetzt Wissensvorsprung sichern!

Weitere Produktempfehlungen anzeigen
Literatur
1.
Zurück zum Zitat Abramovici M, Stroud CE, Hamilton C, Wijesuriya S, Verma V (1999) Using roving stars for on-line testing and diagnosis of fpgas in fault-tolerant applications. In: Proc. IEEE Int’l Test Conference (ITC), pp 973–982 Abramovici M, Stroud CE, Hamilton C, Wijesuriya S, Verma V (1999) Using roving stars for on-line testing and diagnosis of fpgas in fault-tolerant applications. In: Proc. IEEE Int’l Test Conference (ITC), pp 973–982
2.
Zurück zum Zitat Agarwal VK, Cerny E (1981) Store and generate built-in-testing approach. In: Proc. international symposium on Fault-Tolerant Computing (FTCS‘81), pp 35–40 Agarwal VK, Cerny E (1981) Store and generate built-in-testing approach. In: Proc. international symposium on Fault-Tolerant Computing (FTCS‘81), pp 35–40
3.
Zurück zum Zitat Al-Asaad H, Moore P (2006) Non-concurrent on-line testing via scan chains. In: IEEE systems readiness technology conference, pp 683–689 Al-Asaad H, Moore P (2006) Non-concurrent on-line testing via scan chains. In: IEEE systems readiness technology conference, pp 683–689
4.
Zurück zum Zitat Bardell P, McAnney W, Savir J (1987) Built-in test for VLSI: pseudorandom techniques. Wiley-Interscience, New York, NY, USA Bardell P, McAnney W, Savir J (1987) Built-in test for VLSI: pseudorandom techniques. Wiley-Interscience, New York, NY, USA
5.
Zurück zum Zitat Baumann R (2005) Soft errors in advanced computer systems. IEEE Des Test Comput 22(3):258–266CrossRef Baumann R (2005) Soft errors in advanced computer systems. IEEE Des Test Comput 22(3):258–266CrossRef
6.
Zurück zum Zitat Borkar S, Karnik T, De V (2004) Design and reliability challenges in nanometer technologies. In: Proc. ACM/IEEE Design Automation Conference (DAC 2004), p 75 Borkar S, Karnik T, De V (2004) Design and reliability challenges in nanometer technologies. In: Proc. ACM/IEEE Design Automation Conference (DAC 2004), p 75
7.
Zurück zum Zitat Boulé M, Zilic Z (2008) Generating hardware assertion checkers: for hardware verification, emulation, post-fabrication debugging and on-line monitoring. Springer Boulé M, Zilic Z (2008) Generating hardware assertion checkers: for hardware verification, emulation, post-fabrication debugging and on-line monitoring. Springer
8.
Zurück zum Zitat Drineas P, Makris Y (2003) Concurrent fault detection in random combinational logic. In: Int’l Symposium on Quality of Electronic Design (ISQED), pp 425–430 Drineas P, Makris Y (2003) Concurrent fault detection in random combinational logic. In: Int’l Symposium on Quality of Electronic Design (ISQED), pp 425–430
9.
Zurück zum Zitat Drineas P, Makris Y (2003) SPaRe: selective partial replication for concurrent fault-detection in FSMs. IEEE Trans Instrum Meas 52(6):1729–1737CrossRef Drineas P, Makris Y (2003) SPaRe: selective partial replication for concurrent fault-detection in FSMs. IEEE Trans Instrum Meas 52(6):1729–1737CrossRef
10.
Zurück zum Zitat El-Maleh A, Al-Suwaiyan A (2002) An efficient test relaxation technique for combinational & full-scan sequential circuits. In: Proc. IEEE VLSI test symposium, pp 53–59 El-Maleh A, Al-Suwaiyan A (2002) An efficient test relaxation technique for combinational & full-scan sequential circuits. In: Proc. IEEE VLSI test symposium, pp 53–59
11.
Zurück zum Zitat Gherman V, Wunderlich H-J, Mascarenhas RD, Schlöffel J, Garbers M (2007) Synthesis of irregular combinational functions with large don’t care sets. In: Proc. ACM great lakes symposium on VLSI, pp 287–292 Gherman V, Wunderlich H-J, Mascarenhas RD, Schlöffel J, Garbers M (2007) Synthesis of irregular combinational functions with large don’t care sets. In: Proc. ACM great lakes symposium on VLSI, pp 287–292
12.
Zurück zum Zitat Gizdarski E, Fujiwara H (2002) Spirit: a highly robust combinational test generation algorithm. IEEE Trans Computer-Aided Design Integr Circuits Syst 21(12):1446–1458CrossRef Gizdarski E, Fujiwara H (2002) Spirit: a highly robust combinational test generation algorithm. IEEE Trans Computer-Aided Design Integr Circuits Syst 21(12):1446–1458CrossRef
13.
Zurück zum Zitat Hellebrand S, Tarnick S, Courtois B, Rajski J (1992) Generation of vector patterns through reseeding of multipe-polynominal linear feedback shift registers. In: Proc. IEEE Int’l Test Conference (ITC), pp 120–129 Hellebrand S, Tarnick S, Courtois B, Rajski J (1992) Generation of vector patterns through reseeding of multipe-polynominal linear feedback shift registers. In: Proc. IEEE Int’l Test Conference (ITC), pp 120–129
14.
Zurück zum Zitat Hellebrand S, Reeb B, Tarnick S, Wunderlich H-J (1995) Pattern generation for a deterministic BIST scheme. In: Proc. IEEE/ACM International Conference on Computer-Aided Design (ICCAD), pp 88–94 Hellebrand S, Reeb B, Tarnick S, Wunderlich H-J (1995) Pattern generation for a deterministic BIST scheme. In: Proc. IEEE/ACM International Conference on Computer-Aided Design (ICCAD), pp 88–94
15.
Zurück zum Zitat Inoue H, Li Y, Mitra S (2008) VAST: virtualization-assisted concurrent autonomous self-test. In: Proc. IEEE Int’l Test Conference (ITC), p 12.3 Inoue H, Li Y, Mitra S (2008) VAST: virtualization-assisted concurrent autonomous self-test. In: Proc. IEEE Int’l Test Conference (ITC), p 12.3
16.
Zurück zum Zitat Kajihara S, Pomeranz I, Kinoshita K, Reddy SM (1995) Cost-effective generation of minimal test sets for stuck-at faults in combinational logic circuits. IEEE Trans Computer-Aided Design Integr Circuits Syst 14(12):1496–1504CrossRef Kajihara S, Pomeranz I, Kinoshita K, Reddy SM (1995) Cost-effective generation of minimal test sets for stuck-at faults in combinational logic circuits. IEEE Trans Computer-Aided Design Integr Circuits Syst 14(12):1496–1504CrossRef
17.
Zurück zum Zitat Karkala M, Touba NA, Wunderlich H-J (1998) Special ATPG to correlate test patterns for low-overhead mixed-mode BIST. In: Proceedings of the 7th Asian Test Symposium (ATS ‘98), pp 492–499 Karkala M, Touba NA, Wunderlich H-J (1998) Special ATPG to correlate test patterns for low-overhead mixed-mode BIST. In: Proceedings of the 7th Asian Test Symposium (ATS ‘98), pp 492–499
18.
Zurück zum Zitat Kochte MA, Zoellin CG, Imhof ME, Wunderlich HJ (2008) Test set stripping limiting the maximum number of specified bits. In: Proc. IEEE int’l symposium on electronic design, test and applications (DELTA), pp 581–586 Kochte MA, Zoellin CG, Imhof ME, Wunderlich HJ (2008) Test set stripping limiting the maximum number of specified bits. In: Proc. IEEE int’l symposium on electronic design, test and applications (DELTA), pp 581–586
19.
Zurück zum Zitat Kochte M, Zoellin C, Wunderlich H (2009) Concurrent self-test with partially specified patterns for low test latency and overhead. In: IEEE European test symposium, pp 53–58 Kochte M, Zoellin C, Wunderlich H (2009) Concurrent self-test with partially specified patterns for low test latency and overhead. In: IEEE European test symposium, pp 53–58
20.
Zurück zum Zitat Koren I, Krishna C (2007) Fault-tolerant systems. Morgan Kaufmann Koren I, Krishna C (2007) Fault-tolerant systems. Morgan Kaufmann
21.
Zurück zum Zitat Lala P (2001) Self-checking and fault-tolerant digital design. Morgan Kaufmann Lala P (2001) Self-checking and fault-tolerant digital design. Morgan Kaufmann
22.
Zurück zum Zitat Leveugle R, Saucier G (1990) Optimized synthesis of concurrently checked controllers. IEEE Trans Comput 39(4):419–425CrossRef Leveugle R, Saucier G (1990) Optimized synthesis of concurrently checked controllers. IEEE Trans Comput 39(4):419–425CrossRef
23.
Zurück zum Zitat Mitra S, McCluskey EJ (2000) Which concurrent error detection scheme to choose? In: Proc. IEEE Int’l Test Conference (ITC), pp 985–994 Mitra S, McCluskey EJ (2000) Which concurrent error detection scheme to choose? In: Proc. IEEE Int’l Test Conference (ITC), pp 985–994
24.
Zurück zum Zitat Miyase K, Kajihara S (2004) XID: Don’t care identification of test patterns for combinational circuits. IEEE Trans Computer-Aided Design Integr Circuits Syst 23(2):321–326CrossRef Miyase K, Kajihara S (2004) XID: Don’t care identification of test patterns for combinational circuits. IEEE Trans Computer-Aided Design Integr Circuits Syst 23(2):321–326CrossRef
25.
Zurück zum Zitat Mohanram K, Sogomonyan ES, Gössel M, Touba NA (2003) Synthesis of low-cost parity-based partially self-checking circuits. In: Proc. IEEE Int’l On-line Testing Symposium (IOLTS), pp 35–40 Mohanram K, Sogomonyan ES, Gössel M, Touba NA (2003) Synthesis of low-cost parity-based partially self-checking circuits. In: Proc. IEEE Int’l On-line Testing Symposium (IOLTS), pp 35–40
26.
Zurück zum Zitat Nassif SR (2001) Modeling and analysis of manufacturing variations. In: Proc. IEEE custom integrated circuits conference, pp 223–228 Nassif SR (2001) Modeling and analysis of manufacturing variations. In: Proc. IEEE custom integrated circuits conference, pp 223–228
27.
Zurück zum Zitat Nicolaidis M, Zorian Y (1998) On-line testing for VLSI: a compendium of approaches. J Electron Test 12(1):7–20CrossRef Nicolaidis M, Zorian Y (1998) On-line testing for VLSI: a compendium of approaches. J Electron Test 12(1):7–20CrossRef
28.
Zurück zum Zitat Pomeranz I, Reddy S (2006) Reducing the number of specified values per test vector by increasing the test set size. IEE Proc Comput Digit Tech 153(1):39–46CrossRef Pomeranz I, Reddy S (2006) Reducing the number of specified values per test vector by increasing the test set size. IEE Proc Comput Digit Tech 153(1):39–46CrossRef
29.
Zurück zum Zitat Pradhan D (1996) Fault-tolerant computer design. Prentice Hall Pradhan D (1996) Fault-tolerant computer design. Prentice Hall
30.
Zurück zum Zitat Saluja K, Sharma R, Kime C (1987) Concurrent comparative testing using BIST resources. In: Proc. IEEE Int’l Conference on Computer-Aided Design (ICCAD), pp 336–337 Saluja K, Sharma R, Kime C (1987) Concurrent comparative testing using BIST resources. In: Proc. IEEE Int’l Conference on Computer-Aided Design (ICCAD), pp 336–337
31.
Zurück zum Zitat Saluja KK, Sharma R, Kime CR (1988) A concurrent testing technique for digital circuits. IEEE Trans Computer-Aided Design Integr Circuits Syst 7(12):1250–1260CrossRef Saluja KK, Sharma R, Kime CR (1988) A concurrent testing technique for digital circuits. IEEE Trans Computer-Aided Design Integr Circuits Syst 7(12):1250–1260CrossRef
32.
Zurück zum Zitat Scherrer C, Steininger A (2003) Dealing with dormant faults in an embedded fault-tolerant computer system. IEEE Trans Reliab 52(4):512–522CrossRef Scherrer C, Steininger A (2003) Dealing with dormant faults in an embedded fault-tolerant computer system. IEEE Trans Reliab 52(4):512–522CrossRef
33.
Zurück zum Zitat Schuette MA, Shen JP (1987) Processor control flow monitoring using signatured instruction streams. IEEE Trans Comput 36(3):264–276CrossRef Schuette MA, Shen JP (1987) Processor control flow monitoring using signatured instruction streams. IEEE Trans Comput 36(3):264–276CrossRef
34.
Zurück zum Zitat Sharma R, Saluja K (1988) An implementation and analysis of a concurrent built-in self-test technique. In: 18th international symposium on Fault-Tolerant Computing (FTCS), pp 164–169 Sharma R, Saluja K (1988) An implementation and analysis of a concurrent built-in self-test technique. In: 18th international symposium on Fault-Tolerant Computing (FTCS), pp 164–169
35.
Zurück zum Zitat Sharma R, Saluja KK (1993) Theory, analysis and implementation of an on-line BIST technique. VLSI Des 1(1):9–22CrossRef Sharma R, Saluja KK (1993) Theory, analysis and implementation of an on-line BIST technique. VLSI Des 1(1):9–22CrossRef
36.
Zurück zum Zitat Shombert L, Siewiorek DP (1987) Using redundancy for concurrent testing and repairing of systolic arrays. In: Int’l Symposium on Fault-Tolerant Computing (FTCS), pp 244–249 Shombert L, Siewiorek DP (1987) Using redundancy for concurrent testing and repairing of systolic arrays. In: Int’l Symposium on Fault-Tolerant Computing (FTCS), pp 244–249
37.
Zurück zum Zitat Steininger A, Scherrer C (1999) On the necessity of On-Line-BIST in safety-critical applications—a case study. In: Proc. int’l symposium on Fault-Tolerant Computing (FTCS’99), pp 208–215 Steininger A, Scherrer C (1999) On the necessity of On-Line-BIST in safety-critical applications—a case study. In: Proc. int’l symposium on Fault-Tolerant Computing (FTCS’99), pp 208–215
38.
Zurück zum Zitat Swaminathan S, Chakrabarty K (2001) A deterministic scan-BIST architecture with application to fieldtesting of high-availability systems. In: IEEE conference on custom integrated circuits, pp 259–262 Swaminathan S, Chakrabarty K (2001) A deterministic scan-BIST architecture with application to fieldtesting of high-availability systems. In: IEEE conference on custom integrated circuits, pp 259–262
39.
Zurück zum Zitat Tafertshofer P, Ganz A, Henftling M (1997) A SAT-based implication engine for efficient ATPG, equivalence checking, and optimization of netlists. In: Proc. Int’l Conference on Computer-Aided Design (ICCAD), pp 648–655 Tafertshofer P, Ganz A, Henftling M (1997) A SAT-based implication engine for efficient ATPG, equivalence checking, and optimization of netlists. In: Proc. Int’l Conference on Computer-Aided Design (ICCAD), pp 648–655
40.
Zurück zum Zitat Tafertshofer P, Ganz A, Antreich K (2000) Igraine-an implication graph-based engine for fast implication, justification, and propagation. IEEE Trans Computer-Aided Design Integr Circuits Syst 19(8):907–927CrossRef Tafertshofer P, Ganz A, Antreich K (2000) Igraine-an implication graph-based engine for fast implication, justification, and propagation. IEEE Trans Computer-Aided Design Integr Circuits Syst 19(8):907–927CrossRef
41.
Zurück zum Zitat Touba NA, McCluskey EJ (1996) Altering a pseudo-random bit sequence for scan-based BIST. In: Proc. IEEE International Test Conference (ITC), pp 167–175 Touba NA, McCluskey EJ (1996) Altering a pseudo-random bit sequence for scan-based BIST. In: Proc. IEEE International Test Conference (ITC), pp 167–175
42.
Zurück zum Zitat Voyiatzis I, Paschalis AM, Nikolos D, Halatsis C (1998) R-CBIST: an effective RAM-based input vector monitoring concurrent BIST technique. In: Proc. IEEE Int’l Test Conference (ITC), pp 918–925 Voyiatzis I, Paschalis AM, Nikolos D, Halatsis C (1998) R-CBIST: an effective RAM-based input vector monitoring concurrent BIST technique. In: Proc. IEEE Int’l Test Conference (ITC), pp 918–925
43.
Zurück zum Zitat Voyiatzis I, Paschalis A, Gizopoulos D, Halatsis C, Makri F, Hatzimihail M (2008) An input vector monitoring concurrent BIST architecture based on a precomputed test set. IEEE Trans Comput 57(8):1012–1022CrossRefMathSciNet Voyiatzis I, Paschalis A, Gizopoulos D, Halatsis C, Makri F, Hatzimihail M (2008) An input vector monitoring concurrent BIST architecture based on a precomputed test set. IEEE Trans Comput 57(8):1012–1022CrossRefMathSciNet
44.
Zurück zum Zitat Wunderlich H-J, Kiefer G (1996) Bit-flipping BIST. In: Proceedings of the ACM/IEEE International Conference on Computer-Aided Design (ICCAD ‘96), pp 337–343 Wunderlich H-J, Kiefer G (1996) Bit-flipping BIST. In: Proceedings of the ACM/IEEE International Conference on Computer-Aided Design (ICCAD ‘96), pp 337–343
45.
Zurück zum Zitat Zoellin C, Wunderlich H, Polian I, Becker B (2008) Selective hardening in early design steps. In: IEEE European Test Symposium (ETS), pp 185–190 Zoellin C, Wunderlich H, Polian I, Becker B (2008) Selective hardening in early design steps. In: IEEE European Test Symposium (ETS), pp 185–190
Metadaten
Titel
Efficient Concurrent Self-Test with Partially Specified Patterns
verfasst von
Michael A. Kochte
Christian G. Zoellin
Hans-Joachim Wunderlich
Publikationsdatum
01.10.2010
Verlag
Springer US
Erschienen in
Journal of Electronic Testing / Ausgabe 5/2010
Print ISSN: 0923-8174
Elektronische ISSN: 1573-0727
DOI
https://doi.org/10.1007/s10836-010-5167-6

Weitere Artikel der Ausgabe 5/2010

Journal of Electronic Testing 5/2010 Zur Ausgabe

EditorialNotes

Editorial

Neuer Inhalt