Skip to main content
Erschienen in: The Journal of Supercomputing 10/2021

29.03.2021

Near-optimal replacement policies for shared caches in multicore processors

verfasst von: Javier Díaz, Pablo Ibáñez, Teresa Monreal, Víctor Viñals, José M. Llabería

Erschienen in: The Journal of Supercomputing | Ausgabe 10/2021

Einloggen

Aktivieren Sie unsere intelligente Suche, um passende Fachinhalte oder Patente zu finden.

search-config
loading …

Abstract

An optimal replacement policy that minimizes the miss rate in a private cache was proposed several decades ago. It requires knowing the future access sequence the cache will receive. There is no equivalent for shared caches because replacement decisions alter this future sequence. We present a novel near-optimal policy for minimizing the miss rate in a shared cache that approaches the optimal execution iteratively. During each iteration, the future access sequence is reconstructed on every miss interleaving the future per-core sequences, taken from the previous iteration. This single sequence feeds a classical private-cache optimum replacement policy. Our evaluation on a shared last-level cache shows that our proposal iteratively converges to a near-optimal miss rate that is independent of the initial conditions, within a margin of 0.1%. The best state-of-the-art online policies achieve around 65% of the miss rate reduction obtained by our near-optimal proposal. In a shared cache, miss rate optimization does not imply the optimization of other metrics. Therefore, we also propose a new near-optimal policy to maximize fairness between cores. The best state-of-the-art online policy achieves 60% of the improvement in fairness seen with our near-optimal policy. Our proposals are useful both for setting upper performance bounds and inspiring implementable mechanisms for shared caches.

Sie haben noch keine Lizenz? Dann Informieren Sie sich jetzt über unsere Produkte:

Springer Professional "Wirtschaft"

Online-Abonnement

Mit Springer Professional "Wirtschaft" erhalten Sie Zugriff auf:

  • über 67.000 Bücher
  • über 340 Zeitschriften

aus folgenden Fachgebieten:

  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Finance + Banking
  • Management + Führung
  • Marketing + Vertrieb
  • Versicherung + Risiko




Jetzt Wissensvorsprung sichern!

Springer Professional "Technik"

Online-Abonnement

Mit Springer Professional "Technik" erhalten Sie Zugriff auf:

  • über 67.000 Bücher
  • über 390 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Maschinenbau + Werkstoffe




 

Jetzt Wissensvorsprung sichern!

Springer Professional "Wirtschaft+Technik"

Online-Abonnement

Mit Springer Professional "Wirtschaft+Technik" erhalten Sie Zugriff auf:

  • über 102.000 Bücher
  • über 537 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Finance + Banking
  • Management + Führung
  • Marketing + Vertrieb
  • Maschinenbau + Werkstoffe
  • Versicherung + Risiko

Jetzt Wissensvorsprung sichern!

Fußnoten
1
Another common use of shared caches appears in simultaneous multithreading processors, where a single core executes several threads in parallel [46].
 
2
If two accesses from different cores arrive to the SLLC at the same time, the access from the lower-ordered core is taken first.
 
Literatur
1.
Zurück zum Zitat Smith AJ (1982) Cache memories. ACM Comput Surv (CSUR) 14(3):473–530CrossRef Smith AJ (1982) Cache memories. ACM Comput Surv (CSUR) 14(3):473–530CrossRef
2.
Zurück zum Zitat Mittal S (2016) A survey of cache bypassing techniques. J Low Power Electron Appl 6(2):5CrossRef Mittal S (2016) A survey of cache bypassing techniques. J Low Power Electron Appl 6(2):5CrossRef
3.
Zurück zum Zitat Baer J (2009) Microprocessor architecture: from simple pipelines to chip multiprocessors. Cambridge University PressCrossRef Baer J (2009) Microprocessor architecture: from simple pipelines to chip multiprocessors. Cambridge University PressCrossRef
4.
Zurück zum Zitat Balasubramonian R, Jouppi NP, Muralimanohar N (2011) Multi-core cache hierarchies. Synth Lect Comput Archit 6(3):1–153 Balasubramonian R, Jouppi NP, Muralimanohar N (2011) Multi-core cache hierarchies. Synth Lect Comput Archit 6(3):1–153
6.
Zurück zum Zitat Yoshida T (2018) Fujitsu high performance CPU for the post-k computer. IEEE hot chips, 30 Yoshida T (2018) Fujitsu high performance CPU for the post-k computer. IEEE hot chips, 30
7.
Zurück zum Zitat Sadasivam SK, Thompto BW, Kalla R, Starke WJ (2017) IBM Power9 processor architecture. IEEE Micro 37(2):40–51CrossRef Sadasivam SK, Thompto BW, Kalla R, Starke WJ (2017) IBM Power9 processor architecture. IEEE Micro 37(2):40–51CrossRef
8.
Zurück zum Zitat Lepak K, Talbot G, White S, Beck N, Naffziger S, Fellow S (2017) The next generation AMD enterprise server product architecture. IEEE hot chips, 29 Lepak K, Talbot G, White S, Beck N, Naffziger S, Fellow S (2017) The next generation AMD enterprise server product architecture. IEEE hot chips, 29
9.
Zurück zum Zitat Arafa M, Fahim B, Kottapalli S, Akhilesh K, Looi LP, Mandava S, Rudoff A, Steiner IM, Valentine B, Vedaraman G, Vora S (2019) Cascade lake: next generation Intel Xeon scalable processor. IEEE Micro 39(2):29–36CrossRef Arafa M, Fahim B, Kottapalli S, Akhilesh K, Looi LP, Mandava S, Rudoff A, Steiner IM, Valentine B, Vedaraman G, Vora S (2019) Cascade lake: next generation Intel Xeon scalable processor. IEEE Micro 39(2):29–36CrossRef
10.
Zurück zum Zitat Jain A, Lin C (2019) Cache replacement policies. Synth Lect Comput Archit 14(1):1–87 Jain A, Lin C (2019) Cache replacement policies. Synth Lect Comput Archit 14(1):1–87
11.
Zurück zum Zitat Various authors (2010) Proc of the 1st JILP workshop on comput archit compet Various authors (2010) Proc of the 1st JILP workshop on comput archit compet
13.
Zurück zum Zitat Mattson RL, Gecsei J, Slutz DR, Traiger IL (1970) Evaluation techniques for storage hierarchies. IBM Syst J 9(2):78–117CrossRef Mattson RL, Gecsei J, Slutz DR, Traiger IL (1970) Evaluation techniques for storage hierarchies. IBM Syst J 9(2):78–117CrossRef
14.
Zurück zum Zitat Jaleel KB, Theobald SC, Steely Jr A, Emer J (2010). High performance cache replacement using re-reference interval prediction (RRIP). In: proc of the 37th int symp on comput archit (ISCA), 2010. IEEE, pp. 60–71 Jaleel KB, Theobald SC, Steely Jr A, Emer J (2010). High performance cache replacement using re-reference interval prediction (RRIP). In: proc of the 37th int symp on comput archit (ISCA), 2010. IEEE, pp. 60–71
15.
Zurück zum Zitat Qureshi MK, Jaleel A, Patt YN, Steely S, Emer J (2007) Adaptive insertion policies for high performance caching. In: proc of the 34th ann int symp on comput archit (ISCA), 2007. IEEE, pp. 381–391 Qureshi MK, Jaleel A, Patt YN, Steely S, Emer J (2007) Adaptive insertion policies for high performance caching. In: proc of the 34th ann int symp on comput archit (ISCA), 2007. IEEE, pp. 381–391
16.
Zurück zum Zitat Duong N, Zhao D, Kim T, Cammarota R, Valero M, Veidenbaum A (2012) Improving cache management policies using dynamic reuse distances. In: 45th Annu IEEE/ACM int symp on microarchit. IEEE, pp. 389–400 Duong N, Zhao D, Kim T, Cammarota R, Valero M, Veidenbaum A (2012) Improving cache management policies using dynamic reuse distances. In: 45th Annu IEEE/ACM int symp on microarchit. IEEE, pp. 389–400
17.
Zurück zum Zitat Lee D, Choi J, Kim JH (2001) LRFU: a spectrum of policies that subsumes the least recently used and least frequently used policies. IEEE Trans on Comput 50(12):1352–1361MathSciNetCrossRef Lee D, Choi J, Kim JH (2001) LRFU: a spectrum of policies that subsumes the least recently used and least frequently used policies. IEEE Trans on Comput 50(12):1352–1361MathSciNetCrossRef
18.
Zurück zum Zitat Lim H, Kim J, Chong J (2010) A cache replacement policy to reduce cache miss rate for multiprocessor architecture. IEICE Electron Express 7(12):850–855CrossRef Lim H, Kim J, Chong J (2010) A cache replacement policy to reduce cache miss rate for multiprocessor architecture. IEICE Electron Express 7(12):850–855CrossRef
19.
Zurück zum Zitat Hu Z, Kaxiras S, Martonosi M (2002) Timekeeping in the memory system: Predicting and optimizing memory behavior. In: proc of the 29th annu int symp on comput archit. IEEE, pp. 209–220 Hu Z, Kaxiras S, Martonosi M (2002) Timekeeping in the memory system: Predicting and optimizing memory behavior. In: proc of the 29th annu int symp on comput archit. IEEE, pp. 209–220
20.
Zurück zum Zitat Vakil-Ghahani A, Mahdizadeh-Shahri S, Lotfi-Namin M, Bakhshalipour M, Lotfi-Kamran P, Sarbazi-Azad H (2018) Cache replacement policy based on expected hit count. IEEE Comp Arch Lett 17(1):64–67CrossRef Vakil-Ghahani A, Mahdizadeh-Shahri S, Lotfi-Namin M, Bakhshalipour M, Lotfi-Kamran P, Sarbazi-Azad H (2018) Cache replacement policy based on expected hit count. IEEE Comp Arch Lett 17(1):64–67CrossRef
21.
Zurück zum Zitat Faldu P, Grot B (2017) Leeway: Addressing variability in dead-block prediction for last-level caches. In: proc of the 26th Int Conf on Parallel Archit and Compilation Tech (PACT). IEEE, pp. 180–193 Faldu P, Grot B (2017) Leeway: Addressing variability in dead-block prediction for last-level caches. In: proc of the 26th Int Conf on Parallel Archit and Compilation Tech (PACT). IEEE, pp. 180–193
22.
Zurück zum Zitat Wong W, Baer JL (2000) Modified LRU policies for improving second-level cache behavior. In: proc of the sixth int symp on high-perform comput archit (HPCA), 2000. IEEE, pp. 49–60 Wong W, Baer JL (2000) Modified LRU policies for improving second-level cache behavior. In: proc of the sixth int symp on high-perform comput archit (HPCA), 2000. IEEE, pp. 49–60
23.
Zurück zum Zitat Qureshi MK, Lynch DN, Mutlu O, Patt YN (2006) A case for MLP-aware cache replacement. In: 33rd int symp on comput archit (ISCA), 2006. IEEE, pp. 167–178 Qureshi MK, Lynch DN, Mutlu O, Patt YN (2006) A case for MLP-aware cache replacement. In: 33rd int symp on comput archit (ISCA), 2006. IEEE, pp. 167–178
24.
Zurück zum Zitat Beckmann N, Sanchez D (2017) Maximizing cache performance under uncertainty. In: proc of the sixth int symp on high-perform comput archit (HPCA). IEEE, pp. 109–120 Beckmann N, Sanchez D (2017) Maximizing cache performance under uncertainty. In: proc of the sixth int symp on high-perform comput archit (HPCA). IEEE, pp. 109–120
25.
Zurück zum Zitat Warrier T, Anupama B, Mutyam M (2013) An application-aware cache replacement policy for last-level caches. In: Int Conf on Arch of Comp Sys. Springer, Berlin, Heidelberg, pp. 207-219 Warrier T, Anupama B, Mutyam M (2013) An application-aware cache replacement policy for last-level caches. In: Int Conf on Arch of Comp Sys. Springer, Berlin, Heidelberg, pp. 207-219
26.
Zurück zum Zitat Khan, Manabi S, Tian Y, Jimenez DA (2010) Sampling dead block prediction for last-level caches. In: proc of the 2010 43rd annu IEEE/ACM int symp on microarchit. IEEE, pp. 175–186 Khan, Manabi S, Tian Y, Jimenez DA (2010) Sampling dead block prediction for last-level caches. In: proc of the 2010 43rd annu IEEE/ACM int symp on microarchit. IEEE, pp. 175–186
27.
Zurück zum Zitat Kharbutli M, Solihin Y (2008) Counter-based cache replacement and bypassing algorithms. IEEE Trans Comput 57(4):433–447MathSciNetCrossRef Kharbutli M, Solihin Y (2008) Counter-based cache replacement and bypassing algorithms. IEEE Trans Comput 57(4):433–447MathSciNetCrossRef
28.
Zurück zum Zitat Chaudhuri M, Gaur J, Bashyam N, Subramoney S, Nuzman J (2012) Introducing hierarchy-awareness in replacement and bypass algorithms for last-level caches. In: proc of the 21st Int Conf on Parallel Archit and Compilation Tech (PACT), 2012. IEEE, pp. 293–304 Chaudhuri M, Gaur J, Bashyam N, Subramoney S, Nuzman J (2012) Introducing hierarchy-awareness in replacement and bypass algorithms for last-level caches. In: proc of the 21st Int Conf on Parallel Archit and Compilation Tech (PACT), 2012. IEEE, pp. 293–304
29.
Zurück zum Zitat Albericio J, Ibañez P, Viñals V, Llaberia J (2013) The reuse cache: downsizing the shared last-level cache. In: 46th annu int symp on microarchit (MICRO). IEEE/ACM, pp. 310–321 Albericio J, Ibañez P, Viñals V, Llaberia J (2013) The reuse cache: downsizing the shared last-level cache. In: 46th annu int symp on microarchit (MICRO). IEEE/ACM, pp. 310–321
30.
Zurück zum Zitat Wu CJ, Jaleel A, Hasenplaugh W, Martonosi M, Steely Jr SC, Emer J (2011) SHiP: signature-based hit predictor for high performance caching. In: proc of the 44th annu IEEE/ACM int symp on microarchit, 2011. IEEE/ACM, pp. 430–441 Wu CJ, Jaleel A, Hasenplaugh W, Martonosi M, Steely Jr SC, Emer J (2011) SHiP: signature-based hit predictor for high performance caching. In: proc of the 44th annu IEEE/ACM int symp on microarchit, 2011. IEEE/ACM, pp. 430–441
31.
Zurück zum Zitat Diaz J, Monreal T, Ibañez P, Llaberia J, Viñals V (2019) ReD: a reuse detector for content selection in exclusive shared last-level caches. J Parallel Distrib Comput 125:106–120CrossRef Diaz J, Monreal T, Ibañez P, Llaberia J, Viñals V (2019) ReD: a reuse detector for content selection in exclusive shared last-level caches. J Parallel Distrib Comput 125:106–120CrossRef
32.
Zurück zum Zitat Jain A, Lin C. (2016) Back to the future: leveraging Belady's algorithm for improved cache replacement. In: 43rd annu int symp on comput archit (ISCA), Seoul, 2016. ACM/IEEE, pp. 78–89 Jain A, Lin C. (2016) Back to the future: leveraging Belady's algorithm for improved cache replacement. In: 43rd annu int symp on comput archit (ISCA), Seoul, 2016. ACM/IEEE, pp. 78–89
33.
Zurück zum Zitat Belady LA (1966) A study of replacement algorithms for a virtual-storage computer. IBM Syst J 5(2):78–101CrossRef Belady LA (1966) A study of replacement algorithms for a virtual-storage computer. IBM Syst J 5(2):78–101CrossRef
34.
Zurück zum Zitat Belady LA, Palermo FP (1974) On-line measurement of paging behavior by the multivalued MIN algorithm. IBM J Res Dev 18:2–19MathSciNetCrossRef Belady LA, Palermo FP (1974) On-line measurement of paging behavior by the multivalued MIN algorithm. IBM J Res Dev 18:2–19MathSciNetCrossRef
35.
Zurück zum Zitat McFarling S (1991) Program Analysis and optimization for machines with instruction cache. Dissertation, Stanford University. Tech Rep No. CSL-TR-91–493 McFarling S (1991) Program Analysis and optimization for machines with instruction cache. Dissertation, Stanford University. Tech Rep No. CSL-TR-91–493
36.
Zurück zum Zitat Michaud P (2016) Some mathematical facts about optimal cache replacement. ACM Trans Archit Code Optim (TACO) 13(4):50 Michaud P (2016) Some mathematical facts about optimal cache replacement. ACM Trans Archit Code Optim (TACO) 13(4):50
37.
Zurück zum Zitat Qureshi MK, Moinuddin K, Thompson D, Patt YN (2005) The V-Way cache: demand-based associativity via global replacement. In: 32nd int symp on comput archit (ISCA), 2005. IEEE, pp. 544–555 Qureshi MK, Moinuddin K, Thompson D, Patt YN (2005) The V-Way cache: demand-based associativity via global replacement. In: 32nd int symp on comput archit (ISCA), 2005. IEEE, pp. 544–555
38.
Zurück zum Zitat Jain A, Lin C (2018) Rethinking Belady's algorithm to accommodate prefetching. In: 45th annu int symp on comput archit (ISCA), 2018. ACM/IEEE, pp. 110–123 Jain A, Lin C (2018) Rethinking Belady's algorithm to accommodate prefetching. In: 45th annu int symp on comput archit (ISCA), 2018. ACM/IEEE, pp. 110–123
39.
Zurück zum Zitat Lin WF, Reinhardt S (2002) Predicting last-touch references under optimal replacement. Tech Rep CSE-TR-447–02, Univ of Michigan Lin WF, Reinhardt S (2002) Predicting last-touch references under optimal replacement. Tech Rep CSE-TR-447–02, Univ of Michigan
40.
Zurück zum Zitat Jeong J, Dubois M (2006) Cache replacement algorithms with nonuniform miss costs. IEEE Trans Comput 55(4):353–365CrossRef Jeong J, Dubois M (2006) Cache replacement algorithms with nonuniform miss costs. IEEE Trans Comput 55(4):353–365CrossRef
41.
Zurück zum Zitat Rajan K, Govindarajan R (2007) Emulating optimal replacement with a shepherd cache. In: 40th annu int symp on microarchit (MICRO), 2007. IEEE, pp. 445–454 Rajan K, Govindarajan R (2007) Emulating optimal replacement with a shepherd cache. In: 40th annu int symp on microarchit (MICRO), 2007. IEEE, pp. 445–454
42.
Zurück zum Zitat Gaur J, Chaudhuri M, Subramoney S (2011) Bypass and insertion algorithms for exclusive last-level caches. ACM SIGARCH Comput Archit News 39(3):81–92CrossRef Gaur J, Chaudhuri M, Subramoney S (2011) Bypass and insertion algorithms for exclusive last-level caches. ACM SIGARCH Comput Archit News 39(3):81–92CrossRef
43.
Zurück zum Zitat Liu W, Yeung D (2009) Using aggressor thread information to improve shared cache management for CMPs. In: proc. of the 18th Int Conf on Parallel Archit and Compilation Tech (PACT), 2009. IEEE, pp. 372–383 Liu W, Yeung D (2009) Using aggressor thread information to improve shared cache management for CMPs. In: proc. of the 18th Int Conf on Parallel Archit and Compilation Tech (PACT), 2009. IEEE, pp. 372–383
44.
Zurück zum Zitat Zahran M, Albayraktaroglu K, Franklin M (2007) Non-inclusion property in multi-level caches revisited. Int J Comp Their Appl 14(2):99–108 Zahran M, Albayraktaroglu K, Franklin M (2007) Non-inclusion property in multi-level caches revisited. Int J Comp Their Appl 14(2):99–108
45.
Zurück zum Zitat Snavely A, Tullsen DM (2000) Symbiotic job scheduling for simultaneous multithreading processor. In: proc of the Int Conf on Archit Support for Program Lang and Oper Syst (ASPLOS), 2000. ACM, pp. 234–244 Snavely A, Tullsen DM (2000) Symbiotic job scheduling for simultaneous multithreading processor. In: proc of the Int Conf on Archit Support for Program Lang and Oper Syst (ASPLOS), 2000. ACM, pp. 234–244
46.
Zurück zum Zitat Eggers SJ, Emer JS, Levy HM, Lo JL, Stamm RL, Tullsen DM (1997) Simultaneous multithreading: a platform for next-generation processors. IEEE Micro 17(5):12–19CrossRef Eggers SJ, Emer JS, Levy HM, Lo JL, Stamm RL, Tullsen DM (1997) Simultaneous multithreading: a platform for next-generation processors. IEEE Micro 17(5):12–19CrossRef
47.
Zurück zum Zitat Henning JL (2006) SPEC CPU2006 benchmark descriptions. SIGARCH Comput Archit News 35(4):1–17CrossRef Henning JL (2006) SPEC CPU2006 benchmark descriptions. SIGARCH Comput Archit News 35(4):1–17CrossRef
48.
Zurück zum Zitat Perelman E, Hamerly G, Van Biesbrouck M, Sherwood T, Calder B (2003) Using simpoint for accurate and efficient simulation. In: Int Conf on Meas and Model of Comput Syst (SIGMETRICS), 2003. ACM, pp. 318–319 Perelman E, Hamerly G, Van Biesbrouck M, Sherwood T, Calder B (2003) Using simpoint for accurate and efficient simulation. In: Int Conf on Meas and Model of Comput Syst (SIGMETRICS), 2003. ACM, pp. 318–319
49.
Zurück zum Zitat Hamerly G, Perelman E, Lau J, Calder B (2005) SimPoint 3.0: faster and more flexible program phase analysis. J Instr Level Parallelism 7(4):1–28 Hamerly G, Perelman E, Lau J, Calder B (2005) SimPoint 3.0: faster and more flexible program phase analysis. J Instr Level Parallelism 7(4):1–28
50.
Zurück zum Zitat McFarling S (1993) Combining branch predictors. Vol. 49, Tech Rep TN-36, Digital West Res Lab McFarling S (1993) Combining branch predictors. Vol. 49, Tech Rep TN-36, Digital West Res Lab
51.
Zurück zum Zitat Kim S, Chandra D, Solihin Y (2004) Fair cache sharing and partitioning in a chip multiprocessor architecture. In: Proc of the 13th Int Conf on Parallel Archit and Compilation Tech (PACT), 2004. IEEE, pp.111–122 Kim S, Chandra D, Solihin Y (2004) Fair cache sharing and partitioning in a chip multiprocessor architecture. In: Proc of the 13th Int Conf on Parallel Archit and Compilation Tech (PACT), 2004. IEEE, pp.111–122
52.
Zurück zum Zitat Luo K, Gummaraju J, Franklin M (2001) Balancing throughput and fairness in SMT processors. In: proc of the IEEE int symp on perform anal of syst and softw (ISPASS), 2001. IEEE, pp. 164–171 Luo K, Gummaraju J, Franklin M (2001) Balancing throughput and fairness in SMT processors. In: proc of the IEEE int symp on perform anal of syst and softw (ISPASS), 2001. IEEE, pp. 164–171
53.
Zurück zum Zitat Diaz J, Ibañez P, Monreal T, Viñals V and Llaberia J. (2017) ReD: a policy based on reuse detection for a demanding block selection in last-level caches. The 2nd cache replacement championship, June 25, 2017, Toronto, Canada. https://crc2.ece.tamu.edu/?page_id=53. Accessed 3 Mar 2021 Diaz J, Ibañez P, Monreal T, Viñals V and Llaberia J. (2017) ReD: a policy based on reuse detection for a demanding block selection in last-level caches. The 2nd cache replacement championship, June 25, 2017, Toronto, Canada. https://​crc2.​ece.​tamu.​edu/​?​page_​id=​53. Accessed 3 Mar 2021
59.
Zurück zum Zitat Wulf WA, McKee SA (1995) Hitting the memory wall: implications of the obvious. ACM SIGARCH Comput Archit News 23(1):20–24CrossRef Wulf WA, McKee SA (1995) Hitting the memory wall: implications of the obvious. ACM SIGARCH Comput Archit News 23(1):20–24CrossRef
Metadaten
Titel
Near-optimal replacement policies for shared caches in multicore processors
verfasst von
Javier Díaz
Pablo Ibáñez
Teresa Monreal
Víctor Viñals
José M. Llabería
Publikationsdatum
29.03.2021
Verlag
Springer US
Erschienen in
The Journal of Supercomputing / Ausgabe 10/2021
Print ISSN: 0920-8542
Elektronische ISSN: 1573-0484
DOI
https://doi.org/10.1007/s11227-021-03736-1

Weitere Artikel der Ausgabe 10/2021

The Journal of Supercomputing 10/2021 Zur Ausgabe

Premium Partner