Skip to main content

2017 | OriginalPaper | Buchkapitel

4. Near-Threshold Digital Circuits for Nearly-Minimum Energy Processing

verfasst von : Massimo Alioto

Erschienen in: Enabling the Internet of Things

Verlag: Springer International Publishing

Aktivieren Sie unsere intelligente Suche, um passende Fachinhalte oder Patente zu finden.

search-config
loading …

Abstract

This chapter addresses the challenges and the opportunities to perform computation with nearly-minimum energy consumption through the adoption of logic circuits operating at near-threshold voltages. Simple models are provided to gain an insight into the fundamental design tradeoffs. A wide set of design techniques is presented to preserve the nearly-minimum energy feature in spite of the fundamental challenges in terms of performance, leakage and variations. Emphasis is given on debunking the incorrect assumptions that stem from traditional low-power common wisdom at above-threshold voltages.

Sie haben noch keine Lizenz? Dann Informieren Sie sich jetzt über unsere Produkte:

Springer Professional "Wirtschaft+Technik"

Online-Abonnement

Mit Springer Professional "Wirtschaft+Technik" erhalten Sie Zugriff auf:

  • über 102.000 Bücher
  • über 537 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Finance + Banking
  • Management + Führung
  • Marketing + Vertrieb
  • Maschinenbau + Werkstoffe
  • Versicherung + Risiko

Jetzt Wissensvorsprung sichern!

Springer Professional "Technik"

Online-Abonnement

Mit Springer Professional "Technik" erhalten Sie Zugriff auf:

  • über 67.000 Bücher
  • über 390 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Maschinenbau + Werkstoffe




 

Jetzt Wissensvorsprung sichern!

Springer Professional "Wirtschaft"

Online-Abonnement

Mit Springer Professional "Wirtschaft" erhalten Sie Zugriff auf:

  • über 67.000 Bücher
  • über 340 Zeitschriften

aus folgenden Fachgebieten:

  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Finance + Banking
  • Management + Führung
  • Marketing + Vertrieb
  • Versicherung + Risiko




Jetzt Wissensvorsprung sichern!

Fußnoten
1
Indeed, sub-100 nm CMOS technologies typically have an I–V characteristics that is proportional to \( {\left({V}_{DD}-{V}_{TH}\right)}^{\alpha} \) with \( \alpha \approx 1 \) (Sakurai and Newton 1990).
 
2
Operation at near-threshold voltages tends to increase \( {V}_{TH} \) compared to the value at nominal voltage, due to DIBL (see Sect. 5.​2.​2). For standard \( {V}_{TH} \) of 350–380 mV at nominal voltage, it is common to have \( {V}_{TH} \) in the order of 400–450 mV when operating at near-threshold voltages (see, e.g., Fig. 4.7). Observe that the “standard V TH ” nomenclature might be attributed to different threshold voltages in some processes.
 
3
Indeed, \( \ln \left({e}^x+1\right)\approx {e}^x \) for \( x<0 \) (i.e., for \( {V}_{DD}<{V}_{TH} \)) in (5.2).
 
4
These considerations hold for NMOS transistors. For PMOS transistors, change the sign in all voltages. Regarding the body effect, FBB (RBB) refers to body voltages \( {V}_{BB} \) below (above) \( {V}_{DD} \).
 
5
An operation is here defined as the basic task that the considered system is executing, e.g., an instruction in a CPU or GPU, a new output sample in a DSP, an arithmetic operation in an Arithmetic Logic Unit.
 
6
\( {T}_{CK}/ F O4 \) is essentially constant in gate-delay dominated critical paths when varying \( {V}_{DD} \), as all gate delays generally scale like \( F O4 \) (Harris et al. n.d.; Weste and Harris 2011). At near-threshold voltages, this assumption is generally correct, as the wire delay is typically much smaller (see Sect. 5.2.3).
 
7
Since the energy per operation \( {E}_{TOT} \) in (5.11) is proportional to \( {E}_{cycle} \), in the following we will simply refer to the energy per cycle in (5.12), unless otherwise specified. All considerations are immediately extended to \( {E}_{TOT} \) by simply multiplying \( {E}_{cycle} \) by \( C P O \).
 
8
The following analysis is inspired by Hanson et al. (2006a), Hanson et al. (2006b), Bo et al. (2004) and generalizes the results to arbitrary designs, instead of being valid only for simple cascaded inverters.
 
9
For example, the average fan-out \( \overline{C_{cell}}/{C}_{in, min} \) is independent of \( {V}_{DD} \) since the wire capacitance is constant, and the transistor gate capacitance does not change substantially (see Fig. 5.​4). Similarly, the logic depth, the activity and the average strength do not depend on \( {V}_{DD} \).
 
10
Indeed, eq. (5.13) in sub-threshold region becomes \( {E}_{cycle}\propto {V}_{DD, norm}^2\left[1+ ILDR\cdot {e}^{-{V}_{DD, norm}}\right] \), assuming \( {\alpha}_{X_{off}}\approx {\lambda}_{DIBL} \) (which is generally, since \( {\lambda}_{DIBL}\ll 1 \)).
 
11
Here, “fast” refers to the clock cycle normalized to \( F O4 \) (i.e., \( L{D}_{eff} \)), rather than the absolute clock cycle. This choice is motivated by the need for characterizing the design regardless of the specific voltage and hence \( F O4 \). Indeed, low values of \( {T}_{CK}/ F O4 \) identify designs that would be fast at nominal and any other voltage, regardless of \( F O4 \). On the other hand, ultra-low voltage operation makes the absolute \( {T}_{CK} \) large simply because of the increase in \( F O4 \), not because of the design itself.
 
12
The off (on) stacking factor is defined as the factor by which the transistor current of an off (on) single transistor is reduced due to the series connection of multiple transistors having the same size.
 
13
This is unavoidable in real designs, as overall energy-performance optimization aims to equalize the delay of different paths (De Micheli 1994), so that non-critical paths can be down-sized to reduce their energy, while maintaining the same performance target (Narayanan et al. 2010).
 
14
This is due to the well-known quadratic dependence of the RC wire delay on its length (Weste and Harris 2011), and assuming a 10X \( F O4 \) degradation at the MEP compared to the nominal voltage.
 
Literatur
Zurück zum Zitat F. Abouzeid, S. Clerc, B. Pelloux-Prayer, F. Argoud, P. Roche, 28 nm CMOS, energy efficient and variability tolerant, 350 mV-to-1.0 V, 10 MHz/700MHz, 252bits frame error-decoder, in Proceedings of ESSCIRC 2012 (Bordeaux, France, Sept. 2012), pp. 153–156 F. Abouzeid, S. Clerc, B. Pelloux-Prayer, F. Argoud, P. Roche, 28 nm CMOS, energy efficient and variability tolerant, 350 mV-to-1.0 V, 10 MHz/700MHz, 252bits frame error-decoder, in Proceedings of ESSCIRC 2012 (Bordeaux, France, Sept. 2012), pp. 153–156
Zurück zum Zitat M. Alioto, G. Scotti, A. Trifiletti, A novel framework to estimate the path delay variability via the fan-out-of-4 metric. IEEE Trans. Circuits Syst—Part I (in press) M. Alioto, G. Scotti, A. Trifiletti, A novel framework to estimate the path delay variability via the fan-out-of-4 metric. IEEE Trans. Circuits Syst—Part I (in press)
Zurück zum Zitat M. Alioto, Understanding DC behavior of subthreshold CMOS logic through closed-form analysis. IEEE Trans. Circuits Syst.—part I 57(7), 1597–1607 (2010)MathSciNetCrossRef M. Alioto, Understanding DC behavior of subthreshold CMOS logic through closed-form analysis. IEEE Trans. Circuits Syst.—part I 57(7), 1597–1607 (2010)MathSciNetCrossRef
Zurück zum Zitat M. Alioto, Ultra-low power VLSI circuit design demystified and explained: a tutorial. IEEE Trans. Circuits Syst.—part I (invited) 59(1), 3–29 (2012)MathSciNetCrossRef M. Alioto, Ultra-low power VLSI circuit design demystified and explained: a tutorial. IEEE Trans. Circuits Syst.—part I (invited) 59(1), 3–29 (2012)MathSciNetCrossRef
Zurück zum Zitat M. Alioto, Challenges and techniques for ultra-low voltage logic with nearly-minimum energy. in Short course at VLSI Symposium 2014, Hawaii 10 June 2014 M. Alioto, Challenges and techniques for ultra-low voltage logic with nearly-minimum energy. in Short course at VLSI Symposium 2014, Hawaii 10 June 2014
Zurück zum Zitat M. Alioto, G. Palumbo, M. Pennisi, Understanding the effect of process variations on the delay of static and Domino logic. IEEE Trans. VLSI Syst. 18(5), 697–710 (2010)CrossRef M. Alioto, G. Palumbo, M. Pennisi, Understanding the effect of process variations on the delay of static and Domino logic. IEEE Trans. VLSI Syst. 18(5), 697–710 (2010)CrossRef
Zurück zum Zitat M. Alioto, Guest editorial for the special issue on “Ultra-low-voltage VLSI circuits and systems for green computing. IEEE Trans. Circuits Systems—part II 59(12), 849–852 (2012) M. Alioto, Guest editorial for the special issue on “Ultra-low-voltage VLSI circuits and systems for green computing. IEEE Trans. Circuits Systems—part II 59(12), 849–852 (2012)
Zurück zum Zitat M. Alioto, E. Consoli, G. Palumbo, Flip-Flop Design in Nanometer CMOS—From High Speed to Low Energy (Springer, Berlin, 2015) M. Alioto, E. Consoli, G. Palumbo, Flip-Flop Design in Nanometer CMOS—From High Speed to Low Energy (Springer, Berlin, 2015)
Zurück zum Zitat Z. Bo, D. Blaauw, D. Sylvester, K. Flautner, Theoretical and practical limits of dynamic voltage scaling, in Proceedings of DAC (2004), pp. 868–873 Z. Bo, D. Blaauw, D. Sylvester, K. Flautner, Theoretical and practical limits of dynamic voltage scaling, in Proceedings of DAC (2004), pp. 868–873
Zurück zum Zitat K.A. Bowman, J.W. Tschanz, N.S. Kim, J.C. Lee, C.B. Wilkerson, S.-L. Lu, T. Karnik, V. De, Energy-efficient and metastability-immune resilient circuits for dynamic variation tolerance. IEEE J. Solid-State Circuits 44, 49–63 (2009)CrossRef K.A. Bowman, J.W. Tschanz, N.S. Kim, J.C. Lee, C.B. Wilkerson, S.-L. Lu, T. Karnik, V. De, Energy-efficient and metastability-immune resilient circuits for dynamic variation tolerance. IEEE J. Solid-State Circuits 44, 49–63 (2009)CrossRef
Zurück zum Zitat K.A. Bowman, J.W. Tschanz, S.-L. Lu, P. Aseron, M. Khellah, A. Raychowdhury, B. Geuskens, C. Tokunaga, C. Wilkerson, T. Karnik, V. De, A 45 nm resilient microprocessor core for dynamic variation tolerance. IEEE J. Solid-State Circuits 46(1), 194–208 (2011)CrossRef K.A. Bowman, J.W. Tschanz, S.-L. Lu, P. Aseron, M. Khellah, A. Raychowdhury, B. Geuskens, C. Tokunaga, C. Wilkerson, T. Karnik, V. De, A 45 nm resilient microprocessor core for dynamic variation tolerance. IEEE J. Solid-State Circuits 46(1), 194–208 (2011)CrossRef
Zurück zum Zitat T. Burd, T. Pering, A. Stratakos, R. Brodersen, A dynamic voltage scaled microprocessor system, in IEEE ISSCC Dig. Tech. Papers (Feb. 2015), pp. 294–295 T. Burd, T. Pering, A. Stratakos, R. Brodersen, A dynamic voltage scaled microprocessor system, in IEEE ISSCC Dig. Tech. Papers (Feb. 2015), pp. 294–295
Zurück zum Zitat A. Chandrakasan, D. Daly, D. Finchelstein, J. Kwong, Y. Ramadass, M. Sinangil, V. Sze, N. Verma, Technologies for ultradynamic voltage scaling. Proc. IEEE 98(2), 191–214 (2010)CrossRef A. Chandrakasan, D. Daly, D. Finchelstein, J. Kwong, Y. Ramadass, M. Sinangil, V. Sze, N. Verma, Technologies for ultradynamic voltage scaling. Proc. IEEE 98(2), 191–214 (2010)CrossRef
Zurück zum Zitat D. Chinnery, K. Keutzer, Closing the Power Gap between ASIC & Custom (Springer, Berlin, 2007)CrossRef D. Chinnery, K. Keutzer, Closing the Power Gap between ASIC & Custom (Springer, Berlin, 2007)CrossRef
Zurück zum Zitat J. Crop, E. Krimer, N. Moezzi-Madani, R. Pawlowski, T. Ruggeri, P. Chiang, M. Erez, Error detection and recovery techniques for variation-aware CMOS computing: a comprehensive review. J. Low Power Electron. Appl. 1, 334–356 (2011)CrossRef J. Crop, E. Krimer, N. Moezzi-Madani, R. Pawlowski, T. Ruggeri, P. Chiang, M. Erez, Error detection and recovery techniques for variation-aware CMOS computing: a comprehensive review. J. Low Power Electron. Appl. 1, 334–356 (2011)CrossRef
Zurück zum Zitat S. Das, C. Tokunaga, S. Pant, W.-H. Ma, S. Kalaiselvan, K. Lai, D.M. Bull, D.T. Blaauw, Razor II: in situ error detection and correction for PVT and SER tolerance. IEEE J. Solid-State Circuits 44(1), 32–48 (2009)CrossRef S. Das, C. Tokunaga, S. Pant, W.-H. Ma, S. Kalaiselvan, K. Lai, D.M. Bull, D.T. Blaauw, Razor II: in situ error detection and correction for PVT and SER tolerance. IEEE J. Solid-State Circuits 44(1), 32–48 (2009)CrossRef
Zurück zum Zitat G. De Micheli, Synthesis and Optimization of Digital Circuits (McGraw Hill, New York, 1994) G. De Micheli, Synthesis and Optimization of Digital Circuits (McGraw Hill, New York, 1994)
Zurück zum Zitat R. Dreslinski, M. Wieckowski, D. Blaauw, D. Sylvester, T. Mudge, Near-threshold computing: reclaiming Moore’s law through energy efficient integrated circuits. Proc. IEEE 98(2), 253–266 (2010)CrossRef R. Dreslinski, M. Wieckowski, D. Blaauw, D. Sylvester, T. Mudge, Near-threshold computing: reclaiming Moore’s law through energy efficient integrated circuits. Proc. IEEE 98(2), 253–266 (2010)CrossRef
Zurück zum Zitat C. Enz, E. Vittoz, Charge-Based MOS Transistor Modeling: The EKV Model for Low-Power and RF IC Design (Wiley, New York, 2006)CrossRef C. Enz, E. Vittoz, Charge-Based MOS Transistor Modeling: The EKV Model for Low-Power and RF IC Design (Wiley, New York, 2006)CrossRef
Zurück zum Zitat D. Ernst, N.S. Kim, S. Das, S. Pant, R. Rao, T. Pham, C. Ziesler, D. Blaauw, T. Austin, K. Flautner, T. Mudge, Razor: a low-power pipeline based on circuit-level timing speculation, in Proceedings of MICRO-36 (Dec. 2003), pp. 7–18 D. Ernst, N.S. Kim, S. Das, S. Pant, R. Rao, T. Pham, C. Ziesler, D. Blaauw, T. Austin, K. Flautner, T. Mudge, Razor: a low-power pipeline based on circuit-level timing speculation, in Proceedings of MICRO-36 (Dec. 2003), pp. 7–18
Zurück zum Zitat D. Flynn, R. Aitken, A. Gibbons, K. Shi, Low Power Methodology Manual (Springer, New York, 2007) D. Flynn, R. Aitken, A. Gibbons, K. Shi, Low Power Methodology Manual (Springer, New York, 2007)
Zurück zum Zitat M. Fojtik, D. Fick, Y. Kim, N. Pinckney, D. Harris, D. Blaauw, D. Sylvester, Bubble razor: eliminating timing margins in an ARM Cortex-M3 processor in 45 nm CMOS using architecturally independent error detection and correction. IEEE J. Solid-State Circuits 48(1), 66–81 (2013)CrossRef M. Fojtik, D. Fick, Y. Kim, N. Pinckney, D. Harris, D. Blaauw, D. Sylvester, Bubble razor: eliminating timing margins in an ARM Cortex-M3 processor in 45 nm CMOS using architecturally independent error detection and correction. IEEE J. Solid-State Circuits 48(1), 66–81 (2013)CrossRef
Zurück zum Zitat L. Freyman, D. Fick, M. Alioto, D. Blaauw, D. Sylvester, A 346 μm2 VCO-based, reference-free, self-timed sensor interface for cubic-millimeter sensor nodes in 28 nm CMOS. IEEE J. Solid-State Circuits 49(11), 2462–2473 (2014)CrossRef L. Freyman, D. Fick, M. Alioto, D. Blaauw, D. Sylvester, A 346 μm2 VCO-based, reference-free, self-timed sensor interface for cubic-millimeter sensor nodes in 28 nm CMOS. IEEE J. Solid-State Circuits 49(11), 2462–2473 (2014)CrossRef
Zurück zum Zitat F. Frustaci, M. Khayatzadeh, D. Blaauw, D. Sylvester, M. Alioto, SRAM for error-tolerant applications with dynamic energy-quality management in 28 nm CMOS. IEEE J. Solid-State Circuits 50(3), 1310–1323 (2015)CrossRef F. Frustaci, M. Khayatzadeh, D. Blaauw, D. Sylvester, M. Alioto, SRAM for error-tolerant applications with dynamic energy-quality management in 28 nm CMOS. IEEE J. Solid-State Circuits 50(3), 1310–1323 (2015)CrossRef
Zurück zum Zitat F. Frustaci, D. Blaauw, D. Sylvester, M. Alioto, Approximate SRAMs with dynamic energy-quality management. IEEE Trans. VLSI Syst. 24(6), 2128–2141 (2016) F. Frustaci, D. Blaauw, D. Sylvester, M. Alioto, Approximate SRAMs with dynamic energy-quality management. IEEE Trans. VLSI Syst. 24(6), 2128–2141 (2016)
Zurück zum Zitat G. Gammie, N. Ickes, M. Sinangil, R. Rithe, J. Gu, A. Wang, H. Mair, S. Datla, R. Bing, S. Honnavara-Prasad, L. Ho, G. Baldwin, D. Buss, A. Chandrakasan, U. Ko, A 28 nm 0.6 V low-power DSP for mobile applications, in ISSCC Digest of Technical Papers (ISSCC) (San Francisco, Feb. 2011) G. Gammie, N. Ickes, M. Sinangil, R. Rithe, J. Gu, A. Wang, H. Mair, S. Datla, R. Bing, S. Honnavara-Prasad, L. Ho, G. Baldwin, D. Buss, A. Chandrakasan, U. Ko, A 28 nm 0.6 V low-power DSP for mobile applications, in ISSCC Digest of Technical Papers (ISSCC) (San Francisco, Feb. 2011)
Zurück zum Zitat T. Gemmeke, M. Ashouei, B. Liu, M. Meixner, T.G. Noll, H. de Groot, Cell libraries for robust low-voltage operation in nanometer technologies. Solid-State Electron. 84, 132–141 (2013)CrossRef T. Gemmeke, M. Ashouei, B. Liu, M. Meixner, T.G. Noll, H. de Groot, Cell libraries for robust low-voltage operation in nanometer technologies. Solid-State Electron. 84, 132–141 (2013)CrossRef
Zurück zum Zitat J. Gregg, T.W. Chen, Post silicon power/performance optimization in the presence of process variations using individual well-adaptive body biasing. IEEE Trans. VLSI Syst. 15(3), 366–376 (2007)CrossRef J. Gregg, T.W. Chen, Post silicon power/performance optimization in the presence of process variations using individual well-adaptive body biasing. IEEE Trans. VLSI Syst. 15(3), 366–376 (2007)CrossRef
Zurück zum Zitat S. Hanson, B. Zhai, D. Blaauw, D. Sylvester, A. Bryant, X. Wang, Energy optimality and variability in subthreshold design, in Proceedings of ISLPED 2006 (2006), pp. 363–365 S. Hanson, B. Zhai, D. Blaauw, D. Sylvester, A. Bryant, X. Wang, Energy optimality and variability in subthreshold design, in Proceedings of ISLPED 2006 (2006), pp. 363–365
Zurück zum Zitat S. Hanson, B. Zhai, K. Bernstein, D. Blaauw, A. Bryant, L. Chang, K.K. Das, W. Haensch, E.J. Nowak, D.M. Sylvester, Ultralow-voltage, minimum-energy CMOS. IBM J. Res. & Dev. 50(4/5) (2006), pp. 469–490 S. Hanson, B. Zhai, K. Bernstein, D. Blaauw, A. Bryant, L. Chang, K.K. Das, W. Haensch, E.J. Nowak, D.M. Sylvester, Ultralow-voltage, minimum-energy CMOS. IBM J. Res. & Dev. 50(4/5) (2006), pp. 469–490
Zurück zum Zitat S. Hanson, B. Zhai, M. Seok, B. Cline, K. Zhou, M. Singhal, M. Minuth, J. Olson, L. Nazhandali, T. Austin, D. Sylvester, D. Blaauw, Exploring variability and performance in a sub-200-mV processor. IEEE J. Solid-State Circuits 43(4), 881–890 (2008)CrossRef S. Hanson, B. Zhai, M. Seok, B. Cline, K. Zhou, M. Singhal, M. Minuth, J. Olson, L. Nazhandali, T. Austin, D. Sylvester, D. Blaauw, Exploring variability and performance in a sub-200-mV processor. IEEE J. Solid-State Circuits 43(4), 881–890 (2008)CrossRef
Zurück zum Zitat S. Hsu, A. Agarwal, M. Anders, S. Mathew, H. Kaul, F. Sheikh, R. Krishnamurthy, A 280 mV-to-1.1 V 256b reconfigurable SIMD vector permutation engine with 2-dimensional shuffle in 22 nm CMOS, in ISSCC Digest of Technical Papers (ISSCC) (San Francisco, Feb. 2012) S. Hsu, A. Agarwal, M. Anders, S. Mathew, H. Kaul, F. Sheikh, R. Krishnamurthy, A 280 mV-to-1.1 V 256b reconfigurable SIMD vector permutation engine with 2-dimensional shuffle in 22 nm CMOS, in ISSCC Digest of Technical Papers (ISSCC) (San Francisco, Feb. 2012)
Zurück zum Zitat D. Jacquet et al., 2.6GHz ultra-wide voltage range energy efficient dual A9 in 28 nm UTBB FD-SOI, in IEEE Symposium on VLSI Circuits Dig. Tech. Papers (June 2013) D. Jacquet et al., 2.6GHz ultra-wide voltage range energy efficient dual A9 in 28 nm UTBB FD-SOI, in IEEE Symposium on VLSI Circuits Dig. Tech. Papers (June 2013)
Zurück zum Zitat S. Jain et al., A 280 mV-to-1.2 V wide-operating-range IA-32 processor in 32 nm CMOS, in IEEE ISSCC Dig. Tech. Papers (Feb. 2012), pp. 66–67 S. Jain et al., A 280 mV-to-1.2 V wide-operating-range IA-32 processor in 32 nm CMOS, in IEEE ISSCC Dig. Tech. Papers (Feb. 2012), pp. 66–67
Zurück zum Zitat D. Jeon, M. Seok, C. Chakrabarti, D. Blaauw, D. Sylvester, A super-pipelined energy efficient subthreshold 240 MS/s FFT core in 65 nm CMOS. IEEE J. Solid-State Circuits 47(1), 23–34 (2013)CrossRef D. Jeon, M. Seok, C. Chakrabarti, D. Blaauw, D. Sylvester, A super-pipelined energy efficient subthreshold 240 MS/s FFT core in 65 nm CMOS. IEEE J. Solid-State Circuits 47(1), 23–34 (2013)CrossRef
Zurück zum Zitat H. Kaul, M.A. Anders, S.K. Mathew, S.K. Hsu, A. Agarwal, F. Sheikh, R.K. Krishnamurthy, S. Borkar, A 1.45 GHz 52-to-162GFLOPS/W variable-precision floating-point fused multiply-add unit with certainty tracking in 32 nm CMOS, in IEEE ISSCC Dig. Tech. Papers, (Feb. 2012), pp. 182–183 H. Kaul, M.A. Anders, S.K. Mathew, S.K. Hsu, A. Agarwal, F. Sheikh, R.K. Krishnamurthy, S. Borkar, A 1.45 GHz 52-to-162GFLOPS/W variable-precision floating-point fused multiply-add unit with certainty tracking in 32 nm CMOS, in IEEE ISSCC Dig. Tech. Papers, (Feb. 2012), pp. 182–183
Zurück zum Zitat M. Khayatzadeh, M. Saligane, J. Wang, M. Alioto, D. Blaauw, D. Sylvester, A reconfigurable dual port memory with error detection and correction in 28 nm FDSOI, in IEEE ISSCC Dig. Tech. Papers (Feb. 2016), pp. 310–311 M. Khayatzadeh, M. Saligane, J. Wang, M. Alioto, D. Blaauw, D. Sylvester, A reconfigurable dual port memory with error detection and correction in 28 nm FDSOI, in IEEE ISSCC Dig. Tech. Papers (Feb. 2016), pp. 310–311
Zurück zum Zitat Y. Kim, W. Jung, I. Lee, Q. Dong, M. Henry, D. Sylvester, D. Blaauw, A static contention-free single-phase-clocked 24T Flip-Flop in 45 nm for low-power applications. in IEEE ISSCC Dig. Tech. Papers (Feb. 2014) Y. Kim, W. Jung, I. Lee, Q. Dong, M. Henry, D. Sylvester, D. Blaauw, A static contention-free single-phase-clocked 24T Flip-Flop in 45 nm for low-power applications. in IEEE ISSCC Dig. Tech. Papers (Feb. 2014)
Zurück zum Zitat S. Kim, M. Seok, Reconfigurable interconnect-driving technique for ultra-dynamic-voltage-scaling systems, in IEEE ACM International Symposium on Low Power Electronics and Design (ISLPED) (2014) S. Kim, M. Seok, Reconfigurable interconnect-driving technique for ultra-dynamic-voltage-scaling systems, in IEEE ACM International Symposium on Low Power Electronics and Design (ISLPED) (2014)
Zurück zum Zitat I. Kwon, S. Kim, D. Fick, M. Kim, Y.-P. Chen, D. Sylvester, Razor-lite: a light-weight register for error detection by observing virtual supply rails. IEEE J. Solid-State Circuits 49(9), 2054–2066 (2014)CrossRef I. Kwon, S. Kim, D. Fick, M. Kim, Y.-P. Chen, D. Sylvester, Razor-lite: a light-weight register for error detection by observing virtual supply rails. IEEE J. Solid-State Circuits 49(9), 2054–2066 (2014)CrossRef
Zurück zum Zitat L. Leem, H. Cho, J. Bau, Q.A. Jacobson, S. Mitra, ERSA: error resilient system architecture for probabilistic applications, in Proceedings of DATE 2010 (Dresden, Germany, Mar. 2010), pp. 1560–1565 L. Leem, H. Cho, J. Bau, Q.A. Jacobson, S. Mitra, ERSA: error resilient system architecture for probabilistic applications, in Proceedings of DATE 2010 (Dresden, Germany, Mar. 2010), pp. 1560–1565
Zurück zum Zitat L. Lin, S. Jain, M. Alioto, Reconfigurable clock networks for random skew mitigation from sub-threshold to nominal voltage, in IEEE ISSCC Dig. Tech. Papers (Feb. 2017) L. Lin, S. Jain, M. Alioto, Reconfigurable clock networks for random skew mitigation from sub-threshold to nominal voltage, in IEEE ISSCC Dig. Tech. Papers (Feb. 2017)
Zurück zum Zitat M. Alioto, G. Scotti, A. Trifiletti, A novel framework to estimate the path delay variability via the Fan-Out-of-4 metric. IEEE Trans. Circuits Syst.—part I M. Alioto, G. Scotti, A. Trifiletti, A novel framework to estimate the path delay variability via the Fan-Out-of-4 metric. IEEE Trans. Circuits Syst.—part I
Zurück zum Zitat D. Markovic, V. Stojanovic, B. Nikolic, M.A. Horowitz, R.W. Brodersen, Methods for true energy-performance optimization. IEEE J. Solid-State Circuits 39(8), 1282–1293 (2004)CrossRef D. Markovic, V. Stojanovic, B. Nikolic, M.A. Horowitz, R.W. Brodersen, Methods for true energy-performance optimization. IEEE J. Solid-State Circuits 39(8), 1282–1293 (2004)CrossRef
Zurück zum Zitat S.M. Martin, K. Flautner, T. Mudge, D. Blaauw, Combined dynamic voltage scaling and adaptive body biasing for lower power microprocessors under dynamic workloads, in Proceedings of ICCAD’02 (Nov. 2002), pp. 721–725 S.M. Martin, K. Flautner, T. Mudge, D. Blaauw, Combined dynamic voltage scaling and adaptive body biasing for lower power microprocessors under dynamic workloads, in Proceedings of ICCAD’02 (Nov. 2002), pp. 721–725
Zurück zum Zitat M. Meijer, J. Pineda de Gyvez, Body-bias-driven design strategy for area- and performance-efficient CMOS circuits. IEEE Trans. VLSI Syst. 20(1), 42–51 (2012)CrossRef M. Meijer, J. Pineda de Gyvez, Body-bias-driven design strategy for area- and performance-efficient CMOS circuits. IEEE Trans. VLSI Syst. 20(1), 42–51 (2012)CrossRef
Zurück zum Zitat M. Merrett, Y. Wang, M. Alioto, M. Zwolinski, Design metrics for RTL level estimation of delay variability due to intradie (random) variations, in Proceedings of ISCAS 2010 (Paris (France), May 2010), pp. 2498–2501 M. Merrett, Y. Wang, M. Alioto, M. Zwolinski, Design metrics for RTL level estimation of delay variability due to intradie (random) variations, in Proceedings of ISCAS 2010 (Paris (France), May 2010), pp. 2498–2501
Zurück zum Zitat A. Muramatsu, T. Yasufuku, M. Nomura, M. Takamiya, H. Shinohara, T. Sakurai, 12% power reduction by within-functional-block fine-grained adaptive dual supply voltage control in logic circuits with 42 voltage domains, in 37th European Solid-State Circuits Conference (ESSCIRC) (Helsinki (Finland), Sep. 2011), pp. 191–194 A. Muramatsu, T. Yasufuku, M. Nomura, M. Takamiya, H. Shinohara, T. Sakurai, 12% power reduction by within-functional-block fine-grained adaptive dual supply voltage control in logic circuits with 42 voltage domains, in 37th European Solid-State Circuits Conference (ESSCIRC) (Helsinki (Finland), Sep. 2011), pp. 191–194
Zurück zum Zitat J. Myers, A. Savanth, D. Howard, R. Gaddh, P. Prabhat, D. Flynn, An 80nW retention 11.7pJ/cycle active sub-threshold ARM Cortex®-M0+ sub-system in 65 nm CMOS for WSN applications, in IEEE ISSCC Dig. Tech. Papers (Feb. 2015), pp. 144–145 J. Myers, A. Savanth, D. Howard, R. Gaddh, P. Prabhat, D. Flynn, An 80nW retention 11.7pJ/cycle active sub-threshold ARM Cortex®-M0+ sub-system in 65 nm CMOS for WSN applications, in IEEE ISSCC Dig. Tech. Papers (Feb. 2015), pp. 144–145
Zurück zum Zitat S. Narayanan, J. Sartori, R. Kumar, D.L. Jones, Scalable stochastic processors, in Proceedings of DATE 2010 (Dresden, Germany, Mar. 2010), pp. 335–338 S. Narayanan, J. Sartori, R. Kumar, D.L. Jones, Scalable stochastic processors, in Proceedings of DATE 2010 (Dresden, Germany, Mar. 2010), pp. 335–338
Zurück zum Zitat S. Narendra, A. Chandrakasan (eds.), Leakage in Nanometer CMOS Technologies (Springer, Berlin, 2006) S. Narendra, A. Chandrakasan (eds.), Leakage in Nanometer CMOS Technologies (Springer, Berlin, 2006)
Zurück zum Zitat K. Nose, T. Sakurai, Optimization of VDD and VTH for low-power and high-speed applications, in Proceedings of ASPDAC (Jan. 2000), pp. 469–474 K. Nose, T. Sakurai, Optimization of VDD and VTH for low-power and high-speed applications, in Proceedings of ASPDAC (Jan. 2000), pp. 469–474
Zurück zum Zitat M. Olivieri, G. Scotti, A. Trifiletti, A novel yield optimization technique for digital CMOS circuits design by means of process parameters run-time estimation and body bias active control. IEEE Trans. VLSI Syst. 13(5), 630–638 (2005)CrossRef M. Olivieri, G. Scotti, A. Trifiletti, A novel yield optimization technique for digital CMOS circuits design by means of process parameters run-time estimation and body bias active control. IEEE Trans. VLSI Syst. 13(5), 630–638 (2005)CrossRef
Zurück zum Zitat M.M. Orshansky, S. Nassif, D. Boning, Design for Manufacturability and Statistical Design (Springer, Berlin, 2008) M.M. Orshansky, S. Nassif, D. Boning, Design for Manufacturability and Statistical Design (Springer, Berlin, 2008)
Zurück zum Zitat M. Pelgrom, A. Duinmaijer, A. Welbers, Matching properties of MOS transistors. IEEE J. Solid-State Circuits 24(1), 1433–1439 (1989)CrossRef M. Pelgrom, A. Duinmaijer, A. Welbers, Matching properties of MOS transistors. IEEE J. Solid-State Circuits 24(1), 1433–1439 (1989)CrossRef
Zurück zum Zitat M. Putic, L. Di, B. H. Calhoun, J. Lach, Panoptic DVS: a fine-grained dynamic voltage scaling framework for energy scalable CMOS design, in Proceedings of ICCD 2009 (Lake Tahoe, CA, Oct. 2009), pp. 491–497 M. Putic, L. Di, B. H. Calhoun, J. Lach, Panoptic DVS: a fine-grained dynamic voltage scaling framework for energy scalable CMOS design, in Proceedings of ICCD 2009 (Lake Tahoe, CA, Oct. 2009), pp. 491–497
Zurück zum Zitat B. Raghunathan, Y. Turakhia, S. Garg, D. Marculescu, Cherry-picking: exploiting process variations in dark-silicon homogeneous chip multi-processors, in Proceedings of DATE 2013 (Grenoble, France, Mar. 2013), pp. 39–44 B. Raghunathan, Y. Turakhia, S. Garg, D. Marculescu, Cherry-picking: exploiting process variations in dark-silicon homogeneous chip multi-processors, in Proceedings of DATE 2013 (Grenoble, France, Mar. 2013), pp. 39–44
Zurück zum Zitat Y.K. Ramadass, A.P. Chandrakasan, Minimum energy tracking loop with embedded DC–DC converter enabling ultra-low-voltage operation down to 250 mV in 65 nm CMOS. IEEE J. Solid-state Circuits 43(1), 256–265 (2008)CrossRef Y.K. Ramadass, A.P. Chandrakasan, Minimum energy tracking loop with embedded DC–DC converter enabling ultra-low-voltage operation down to 250 mV in 65 nm CMOS. IEEE J. Solid-state Circuits 43(1), 256–265 (2008)CrossRef
Zurück zum Zitat T. Sakurai, R. Newton, Alpha-power law MOSFET model and its applications to CMOS inverter delay and other formulas. IEEE J. Solid-State Circuits 25(2), 584–594 (1990)CrossRef T. Sakurai, R. Newton, Alpha-power law MOSFET model and its applications to CMOS inverter delay and other formulas. IEEE J. Solid-State Circuits 25(2), 584–594 (1990)CrossRef
Zurück zum Zitat W. Sansen, Analog Design Essentials (Springer, New York, 2006) W. Sansen, Analog Design Essentials (Springer, New York, 2006)
Zurück zum Zitat M. Seok, D. Blaauw, D. Sylvester, Robust clock network design methodology for ultra-low voltage operations, in IEEE Transactions on Emerging Selected Topics Circuits Systems, vol. 1(2) (2011) M. Seok, D. Blaauw, D. Sylvester, Robust clock network design methodology for ultra-low voltage operations, in IEEE Transactions on Emerging Selected Topics Circuits Systems, vol. 1(2) (2011)
Zurück zum Zitat F. Sheikh, S. Mathew, M. Anders, H. Kaul, S. Hsu, A. Agarwal, R. Krishnamurthy, S. Borkar, A 2.05 GVertices/s 151 mW lighting accelerator for 3D graphics vertex and pixel shading in 32 nm CMOS, in IEEE ISSCC Dig. Tech. Papers (Feb. 2012), pp. 178–179 F. Sheikh, S. Mathew, M. Anders, H. Kaul, S. Hsu, A. Agarwal, R. Krishnamurthy, S. Borkar, A 2.05 GVertices/s 151 mW lighting accelerator for 3D graphics vertex and pixel shading in 32 nm CMOS, in IEEE ISSCC Dig. Tech. Papers (Feb. 2012), pp. 178–179
Zurück zum Zitat V. Srinivasan, D. Brooks, M. Gschwind, P. Bose, V. Zyuban, P.N. Strenski, P.G. Emma, Optimizing pipelines for power and performance, in Proceedings of International Symposium on Microarchitectures (2002), pp. 333–344 V. Srinivasan, D. Brooks, M. Gschwind, P. Bose, V. Zyuban, P.N. Strenski, P.G. Emma, Optimizing pipelines for power and performance, in Proceedings of International Symposium on Microarchitectures (2002), pp. 333–344
Zurück zum Zitat I. Sutherland, B. Sproull, D. Harris, Logical Effort: Designing Fast CMOS Circuits (Morgan-Kaufmann, Burlington, 1999) I. Sutherland, B. Sproull, D. Harris, Logical Effort: Designing Fast CMOS Circuits (Morgan-Kaufmann, Burlington, 1999)
Zurück zum Zitat C. Tokunaga, J.F. Ryan, C. Augustine, J.P. Kulkarni, Y.-C. Shih, S.T. Kim, R. Jain, K. Bowman, A. Raychowdhury, M.M. Khellah, J.W. Tschanz, V. De, A graphics execution core in 22 nm CMOS featuring adaptive clocking, selective boosting and state-retentive sleep, in ISSCC Digest of Technical Papers (ISSCC) (San Francisco, CA, Feb. 2014) C. Tokunaga, J.F. Ryan, C. Augustine, J.P. Kulkarni, Y.-C. Shih, S.T. Kim, R. Jain, K. Bowman, A. Raychowdhury, M.M. Khellah, J.W. Tschanz, V. De, A graphics execution core in 22 nm CMOS featuring adaptive clocking, selective boosting and state-retentive sleep, in ISSCC Digest of Technical Papers (ISSCC) (San Francisco, CA, Feb. 2014)
Zurück zum Zitat J.R. Tolbert, X. Zhao, S.K. Lim, S. Mukhopadhyay, Analysis and design of energy and slew aware subthreshold clock systems. IEEE Trans. CAD 30(9), 1348–1358 (2011)CrossRef J.R. Tolbert, X. Zhao, S.K. Lim, S. Mukhopadhyay, Analysis and design of energy and slew aware subthreshold clock systems. IEEE Trans. CAD 30(9), 1348–1358 (2011)CrossRef
Zurück zum Zitat J.W. Tschanz, J.T. Kao, S.G. Narendra, R. Nair, D.A. Antoniadis, A.P. Chandrakasan, V. De, Adaptive body bias for reducing impacts of die-to-die and within-die parameter variations on microprocessor frequency and leakage. IEEE J. Solid-State Cicuits 37(11), 1396–1042 (2002)CrossRef J.W. Tschanz, J.T. Kao, S.G. Narendra, R. Nair, D.A. Antoniadis, A.P. Chandrakasan, V. De, Adaptive body bias for reducing impacts of die-to-die and within-die parameter variations on microprocessor frequency and leakage. IEEE J. Solid-State Cicuits 37(11), 1396–1042 (2002)CrossRef
Zurück zum Zitat Y. Tsividis, Operational Modeling of the MOS Transistor, 2nd edn. (McGraw-Hill, New York, 1999) Y. Tsividis, Operational Modeling of the MOS Transistor, 2nd edn. (McGraw-Hill, New York, 1999)
Zurück zum Zitat R.E. Walpole, R.H. Myers, S.L. Myers, K. Ye, Probability & Statistics for Engineers & Scientists (Prentice Hall, Englewood Cliffs, 2006)MATH R.E. Walpole, R.H. Myers, S.L. Myers, K. Ye, Probability & Statistics for Engineers & Scientists (Prentice Hall, Englewood Cliffs, 2006)MATH
Zurück zum Zitat A. Wang, A. Chandrakasan, 180-mV subthreshold FFT processor using a minimum energy design methodology. IEEE J. Solid-State Circuits 40(1), 310–319 (2005)CrossRef A. Wang, A. Chandrakasan, 180-mV subthreshold FFT processor using a minimum energy design methodology. IEEE J. Solid-State Circuits 40(1), 310–319 (2005)CrossRef
Zurück zum Zitat A. Wang, B.H. Calhoun, A. Chandrakasan, Sub-threshold design for ultra low-power systems (Springer, Berlin, 2006) A. Wang, B.H. Calhoun, A. Chandrakasan, Sub-threshold design for ultra low-power systems (Springer, Berlin, 2006)
Zurück zum Zitat J. Wang, N. Pinckney, D. Blaauw, D. Sylvester, Reconfigurable self-timed regenerators for wide-range voltage scaled interconnect, in Proceedings of ASSCC 2015 (Nov. 2015) J. Wang, N. Pinckney, D. Blaauw, D. Sylvester, Reconfigurable self-timed regenerators for wide-range voltage scaled interconnect, in Proceedings of ASSCC 2015 (Nov. 2015)
Zurück zum Zitat N. Weste, D. Harris, CMOS VLSI Design, 4th edn. (Pearson Education, Upper Saddle River, 2011) N. Weste, D. Harris, CMOS VLSI Design, 4th edn. (Pearson Education, Upper Saddle River, 2011)
Zurück zum Zitat R. Wilson et al., A 460 MHz at 397 mV, 2.6 GHz at 1.3 V, 32b VLIW DSP, embedding FMAX tracking, in IEEE ISSCC Dig. Tech. Papers (Feb. 2014), pp. 452–453 R. Wilson et al., A 460 MHz at 397 mV, 2.6 GHz at 1.3 V, 32b VLIW DSP, embedding FMAX tracking, in IEEE ISSCC Dig. Tech. Papers (Feb. 2014), pp. 452–453
Zurück zum Zitat T. Xanthopoulos, Clocking in Modern VLSI Systems (Springer, New York, 2009)CrossRef T. Xanthopoulos, Clocking in Modern VLSI Systems (Springer, New York, 2009)CrossRef
Zurück zum Zitat M. Yip, A. Chandrakasan, A resolution-reconfigurable 5-to-10 b 0.4-to-1 V power scalable SAR ADC, in IEEE ISSCC Dig. Tech. Papers (2011), pp. 190–191 M. Yip, A. Chandrakasan, A resolution-reconfigurable 5-to-10 b 0.4-to-1 V power scalable SAR ADC, in IEEE ISSCC Dig. Tech. Papers (2011), pp. 190–191
Zurück zum Zitat Y. Zhang, M. Khayatzadeh, K. Yang, M. Saligane, M. Alioto, D. Blaauw, D. Sylvester, iRazor: 3-transistor current-based error detection and correction in an ARM Cortex-R4 processor, in IEEE ISSCC Dig. Tech. Papers (Feb. 2016), pp. 160–161 Y. Zhang, M. Khayatzadeh, K. Yang, M. Saligane, M. Alioto, D. Blaauw, D. Sylvester, iRazor: 3-transistor current-based error detection and correction in an ARM Cortex-R4 processor, in IEEE ISSCC Dig. Tech. Papers (Feb. 2016), pp. 160–161
Zurück zum Zitat X. Zhao, J.R. Tolbert, S. Mukhopadhyay, S.K. Lim, Variation-aware clock network design methodology for ultralow voltage (ULV) circuits. IEEE Trans. CAD 31(8), 1222–1234 (2012)CrossRef X. Zhao, J.R. Tolbert, S. Mukhopadhyay, S.K. Lim, Variation-aware clock network design methodology for ultralow voltage (ULV) circuits. IEEE Trans. CAD 31(8), 1222–1234 (2012)CrossRef
Zurück zum Zitat W. Zhao, Y. Ha, M. Alioto, Novel self-body-biasing and statistical design for near-threshold circuits with ultra energy-efficient AES as case study. IEEE Trans. VLSI Syst. 23(8), 1390–1401 (2015)CrossRef W. Zhao, Y. Ha, M. Alioto, Novel self-body-biasing and statistical design for near-threshold circuits with ultra energy-efficient AES as case study. IEEE Trans. VLSI Syst. 23(8), 1390–1401 (2015)CrossRef
Metadaten
Titel
Near-Threshold Digital Circuits for Nearly-Minimum Energy Processing
verfasst von
Massimo Alioto
Copyright-Jahr
2017
DOI
https://doi.org/10.1007/978-3-319-51482-6_4

Neuer Inhalt