Skip to main content

2015 | OriginalPaper | Buchkapitel

38. Neuromorphic Engineering

verfasst von : Giacomo Indiveri

Erschienen in: Springer Handbook of Computational Intelligence

Verlag: Springer Berlin Heidelberg

Aktivieren Sie unsere intelligente Suche, um passende Fachinhalte oder Patente zu finden.

search-config
loading …

Abstract

Neuromorphic engineering is a relatively young field that attempts to build physical realizations of biologically realistic models of neural systems using electronic circuits implemented in very large scale integration technology. While originally focusing on models of the sensory periphery implemented using mainly analog circuits, the field has grown and expanded to include the modeling of neural processing systems that incorporate the computational role of the body, that model learning and cognitive processes, and that implement large distributed spiking neural networks using a variety of design techniques and technologies. This emerging field is characterized by its multidisciplinary nature and its focus on the physics of computation, driving innovations in theoretical neuroscience, device physics, electrical engineering, and computer science.

Sie haben noch keine Lizenz? Dann Informieren Sie sich jetzt über unsere Produkte:

Springer Professional "Wirtschaft+Technik"

Online-Abonnement

Mit Springer Professional "Wirtschaft+Technik" erhalten Sie Zugriff auf:

  • über 102.000 Bücher
  • über 537 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Finance + Banking
  • Management + Führung
  • Marketing + Vertrieb
  • Maschinenbau + Werkstoffe
  • Versicherung + Risiko

Jetzt Wissensvorsprung sichern!

Springer Professional "Technik"

Online-Abonnement

Mit Springer Professional "Technik" erhalten Sie Zugriff auf:

  • über 67.000 Bücher
  • über 390 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Maschinenbau + Werkstoffe




 

Jetzt Wissensvorsprung sichern!

Springer Professional "Wirtschaft"

Online-Abonnement

Mit Springer Professional "Wirtschaft" erhalten Sie Zugriff auf:

  • über 67.000 Bücher
  • über 340 Zeitschriften

aus folgenden Fachgebieten:

  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Finance + Banking
  • Management + Führung
  • Marketing + Vertrieb
  • Versicherung + Risiko




Jetzt Wissensvorsprung sichern!

Literatur
[38.1]
Zurück zum Zitat W.S. McCulloch, W. Pitts: A logical calculus of the ideas immanent in nervous activity, Bull. Math. Biophys. 5, 115–133 (1943)MathSciNetCrossRefMATH W.S. McCulloch, W. Pitts: A logical calculus of the ideas immanent in nervous activity, Bull. Math. Biophys. 5, 115–133 (1943)MathSciNetCrossRefMATH
[38.2]
Zurück zum Zitat J. von Neumann: The Computer and the Brain (Yale Univ. Press, New Haven 1958)MATH J. von Neumann: The Computer and the Brain (Yale Univ. Press, New Haven 1958)MATH
[38.3]
Zurück zum Zitat F. Rosenblatt: The perceptron: A probabilistic model for information storage and organization in the brain, Psychol. Rev. 65(6), 386–408 (1958)MathSciNetCrossRef F. Rosenblatt: The perceptron: A probabilistic model for information storage and organization in the brain, Psychol. Rev. 65(6), 386–408 (1958)MathSciNetCrossRef
[38.4]
Zurück zum Zitat M.L. Minsky: Computation: Finite and Infinite Machines (Prentice-Hall, Upper Saddle River 1967)MATH M.L. Minsky: Computation: Finite and Infinite Machines (Prentice-Hall, Upper Saddle River 1967)MATH
[38.5]
Zurück zum Zitat J.J. Hopfield: Neural networks and physical systems with emergent collective computational abilities, Proc. Natl. Acad. Sci. USA 79(8), 2554–2558 (1982)MathSciNetCrossRef J.J. Hopfield: Neural networks and physical systems with emergent collective computational abilities, Proc. Natl. Acad. Sci. USA 79(8), 2554–2558 (1982)MathSciNetCrossRef
[38.6]
Zurück zum Zitat D.E. Rumelhart, J.L. McClelland: Foundations, parallel distributed processing. In: Explorations in the Microstructure of Cognition, ed. by D.E. Rumelhart, J.L. McClelland (MIT, Cambridge 1986) D.E. Rumelhart, J.L. McClelland: Foundations, parallel distributed processing. In: Explorations in the Microstructure of Cognition, ed. by D.E. Rumelhart, J.L. McClelland (MIT, Cambridge 1986)
[38.7]
Zurück zum Zitat T. Kohonen: Self-Organization and Associative Memory, Springer Series in Information Sciences, 2nd edn. (Springer, Berlin Heidelberg 1988)CrossRefMATH T. Kohonen: Self-Organization and Associative Memory, Springer Series in Information Sciences, 2nd edn. (Springer, Berlin Heidelberg 1988)CrossRefMATH
[38.8]
Zurück zum Zitat J. Hertz, A. Krogh, R.G. Palmer: Introduction to the Theory of Neural Computation (Addison-Wesley, Reading 1991) J. Hertz, A. Krogh, R.G. Palmer: Introduction to the Theory of Neural Computation (Addison-Wesley, Reading 1991)
[38.9]
Zurück zum Zitat K. Fukushima, Y. Yamaguchi, M. Yasuda, S. Nagata: An electronic model of the retina, Proc. IEEE 58(12), 1950–1951 (1970)CrossRef K. Fukushima, Y. Yamaguchi, M. Yasuda, S. Nagata: An electronic model of the retina, Proc. IEEE 58(12), 1950–1951 (1970)CrossRef
[38.10]
Zurück zum Zitat T. Hey: Richard Feynman and computation, Contemp. Phys. 40(4), 257–265 (1999)CrossRef T. Hey: Richard Feynman and computation, Contemp. Phys. 40(4), 257–265 (1999)CrossRef
[38.11]
[38.12]
Zurück zum Zitat C. Mead: Neuromorphic electronic systems, Proc. IEEE 78(10), 1629–1636 (1990)CrossRef C. Mead: Neuromorphic electronic systems, Proc. IEEE 78(10), 1629–1636 (1990)CrossRef
[38.13]
Zurück zum Zitat M. Mahowald, R.J. Douglas: A silicon neuron, Nature 354, 515–518 (1991)CrossRef M. Mahowald, R.J. Douglas: A silicon neuron, Nature 354, 515–518 (1991)CrossRef
[38.14]
[38.15]
Zurück zum Zitat R. Sarpeshkar: Brain power – borrowing from biology makes for low power computing – bionic ear, IEEE Spectrum 43(5), 24–29 (2006)CrossRef R. Sarpeshkar: Brain power – borrowing from biology makes for low power computing – bionic ear, IEEE Spectrum 43(5), 24–29 (2006)CrossRef
[38.16]
Zurück zum Zitat R. Serrano-Gotarredona, T. Serrano-Gotarredona, A. Acosta-Jimenez, A. Linares-Barranco, G. Jiménez-Moreno, A. Civit-Balcells, B. Linares-Barranco: Spike events processing for vision systems, Int. Symp. Circuits Syst. (ISCAS, Piscataway) (2007) R. Serrano-Gotarredona, T. Serrano-Gotarredona, A. Acosta-Jimenez, A. Linares-Barranco, G. Jiménez-Moreno, A. Civit-Balcells, B. Linares-Barranco: Spike events processing for vision systems, Int. Symp. Circuits Syst. (ISCAS, Piscataway) (2007)
[38.17]
Zurück zum Zitat G. Indiveri, T.K. Horiuchi: Frontiers in neuromorphic engineering, Front. Neurosci. 5(118), 1–2 (2011) G. Indiveri, T.K. Horiuchi: Frontiers in neuromorphic engineering, Front. Neurosci. 5(118), 1–2 (2011)
[38.20]
Zurück zum Zitat K.A. Boahen: Neuromorphic microchips, Sci. Am. 292(5), 56–63 (2005)CrossRef K.A. Boahen: Neuromorphic microchips, Sci. Am. 292(5), 56–63 (2005)CrossRef
[38.21]
Zurück zum Zitat R.J. Douglas, M.A. Mahowald, C. Mead: Neuromorphic analogue VLSI, Annu. Rev. Neurosci. 18, 255–281 (1995)CrossRef R.J. Douglas, M.A. Mahowald, C. Mead: Neuromorphic analogue VLSI, Annu. Rev. Neurosci. 18, 255–281 (1995)CrossRef
[38.22]
Zurück zum Zitat W. Maass, E.D. Sontag: Neural systems as nonlinear filters, Neural Comput. 12(8), 1743–1772 (2000)CrossRef W. Maass, E.D. Sontag: Neural systems as nonlinear filters, Neural Comput. 12(8), 1743–1772 (2000)CrossRef
[38.23]
Zurück zum Zitat A. Belatreche, L.P. Maguire, M. McGinnity: Advances in design and application of spiking neural networks, Soft Comput. 11(3), 239–248 (2006)MathSciNetCrossRefMATH A. Belatreche, L.P. Maguire, M. McGinnity: Advances in design and application of spiking neural networks, Soft Comput. 11(3), 239–248 (2006)MathSciNetCrossRefMATH
[38.24]
Zurück zum Zitat R. Brette, M. Rudolph, T. Carnevale, M. Hines, D. Beeman, J.M. Bower, M. Diesmann, A. Morrison, P.H. Harris Jr., F.C. Goodman, M. Zirpe, T. Natschläger, D. Pecevski, B. Ermentrout, M. Djurfeldt, A. Lansner, O. Rochel, T. Vieville, E. Muller, A.P. Davison, S. El Boustani, A. Destexhe: Simulation of networks of spiking neurons: A review of tools and strategies, J. Comput. Neurosci. 23(3), 349–398 (2007)MathSciNetCrossRef R. Brette, M. Rudolph, T. Carnevale, M. Hines, D. Beeman, J.M. Bower, M. Diesmann, A. Morrison, P.H. Harris Jr., F.C. Goodman, M. Zirpe, T. Natschläger, D. Pecevski, B. Ermentrout, M. Djurfeldt, A. Lansner, O. Rochel, T. Vieville, E. Muller, A.P. Davison, S. El Boustani, A. Destexhe: Simulation of networks of spiking neurons: A review of tools and strategies, J. Comput. Neurosci. 23(3), 349–398 (2007)MathSciNetCrossRef
[38.25]
Zurück zum Zitat J. Brader, W. Senn, S. Fusi: Learning real world stimuli in a neural network with spike-driven synaptic dynamics, Neural Comput. 19, 2881–2912 (2007)MathSciNetCrossRefMATH J. Brader, W. Senn, S. Fusi: Learning real world stimuli in a neural network with spike-driven synaptic dynamics, Neural Comput. 19, 2881–2912 (2007)MathSciNetCrossRefMATH
[38.26]
Zurück zum Zitat P. Rowcliffe, J. Feng: Training spiking neuronal networks with applications in engineering tasks, IEEE Trans. Neural Netw. 19(9), 1626–1640 (2008)CrossRef P. Rowcliffe, J. Feng: Training spiking neuronal networks with applications in engineering tasks, IEEE Trans. Neural Netw. 19(9), 1626–1640 (2008)CrossRef
[38.28]
Zurück zum Zitat E. Izhikevich, G. Edelman: Large-scale model of mammalian thalamocortical systems, Proc. Natl. Acad. Sci. USA 105, 3593–3598 (2008)CrossRef E. Izhikevich, G. Edelman: Large-scale model of mammalian thalamocortical systems, Proc. Natl. Acad. Sci. USA 105, 3593–3598 (2008)CrossRef
[38.29]
Zurück zum Zitat Brain-Inspired Multiscale Computation in Neuromorphic Hybrid Systems (BrainScaleS). FP7 269921 EU Grant 2011–2015 Brain-Inspired Multiscale Computation in Neuromorphic Hybrid Systems (BrainScaleS). FP7 269921 EU Grant 2011–2015
[38.31]
Zurück zum Zitat R. Freidman: Reverse engineering the brain, Biomed. Comput. Rev. 5(2), 10–17 (2009) R. Freidman: Reverse engineering the brain, Biomed. Comput. Rev. 5(2), 10–17 (2009)
[38.32]
Zurück zum Zitat B.V. Benjamin, P. Gao, E. McQuinn, S. Choudhary, A.R. Chandrasekaran, J.M. Bussat, R. Alvarez-Icaza, J.V. Arthur, P.A. Merolla, K. Boahen: Neurogrid: A mixed-analog-digital multichip system for large-scale neural simulations, Proc. IEEE 102(5), 699–716 (2014)CrossRef B.V. Benjamin, P. Gao, E. McQuinn, S. Choudhary, A.R. Chandrasekaran, J.M. Bussat, R. Alvarez-Icaza, J.V. Arthur, P.A. Merolla, K. Boahen: Neurogrid: A mixed-analog-digital multichip system for large-scale neural simulations, Proc. IEEE 102(5), 699–716 (2014)CrossRef
[38.33]
Zurück zum Zitat R.J. Douglas, K. Martin: Recurrent neuronal circuits in the neocortex, Curr. Biol. 17(13), R496–R500 (2007)CrossRef R.J. Douglas, K. Martin: Recurrent neuronal circuits in the neocortex, Curr. Biol. 17(13), R496–R500 (2007)CrossRef
[38.34]
Zurück zum Zitat R.J. Douglas, K.A.C. Martin: Neural circuits of the neocortex, Annu. Rev. Neurosci. 27, 419–451 (2004)CrossRef R.J. Douglas, K.A.C. Martin: Neural circuits of the neocortex, Annu. Rev. Neurosci. 27, 419–451 (2004)CrossRef
[38.35]
Zurück zum Zitat C.D. Gilbert, T.N. Wiesel: Clustered intrinsic connections in cat visual cortex, J. Neurosci. 3, 1116–1133 (1983) C.D. Gilbert, T.N. Wiesel: Clustered intrinsic connections in cat visual cortex, J. Neurosci. 3, 1116–1133 (1983)
[38.36]
Zurück zum Zitat G.F. Cooper: The computational complexity of probabilistic inference using bayesian belief networks, Artif. Intell. 42(2/3), 393–405 (1990)MathSciNetCrossRefMATH G.F. Cooper: The computational complexity of probabilistic inference using bayesian belief networks, Artif. Intell. 42(2/3), 393–405 (1990)MathSciNetCrossRefMATH
[38.37]
Zurück zum Zitat D.J.C. MacKay: Information Theory, Inference and Learning Algorithms (Cambridge Univ. Press, Cambridge 2003)MATH D.J.C. MacKay: Information Theory, Inference and Learning Algorithms (Cambridge Univ. Press, Cambridge 2003)MATH
[38.38]
[38.39]
[38.40]
Zurück zum Zitat W. Maass, P. Joshi, E.D. Sontag: Computational aspects of feedback in neural circuits, PLOS Comput. Biol. 3(1), 1–20 (2007)MathSciNetCrossRef W. Maass, P. Joshi, E.D. Sontag: Computational aspects of feedback in neural circuits, PLOS Comput. Biol. 3(1), 1–20 (2007)MathSciNetCrossRef
[38.41]
Zurück zum Zitat L.F. Abbott, W.G. Regehr: Synaptic computation, Nature 431, 796–803 (2004)CrossRef L.F. Abbott, W.G. Regehr: Synaptic computation, Nature 431, 796–803 (2004)CrossRef
[38.42]
Zurück zum Zitat R. Gütig, H. Sompolinsky: The tempotron: A neuron that learns spike timing–based decisions, Nat. Neurosci. 9, 420–428 (2006)CrossRef R. Gütig, H. Sompolinsky: The tempotron: A neuron that learns spike timing–based decisions, Nat. Neurosci. 9, 420–428 (2006)CrossRef
[38.43]
Zurück zum Zitat T. Wennekers, N. Ay: Finite state automata resulting from temporal information maximization and a temporal learning rule, Neural Comput. 10(17), 2258–2290 (2005)MathSciNetCrossRefMATH T. Wennekers, N. Ay: Finite state automata resulting from temporal information maximization and a temporal learning rule, Neural Comput. 10(17), 2258–2290 (2005)MathSciNetCrossRefMATH
[38.44]
Zurück zum Zitat U. Rutishauser, R. Douglas: State-dependent computation using coupled recurrent networks, Neural Comput. 21, 478–509 (2009)MathSciNetCrossRefMATH U. Rutishauser, R. Douglas: State-dependent computation using coupled recurrent networks, Neural Comput. 21, 478–509 (2009)MathSciNetCrossRefMATH
[38.45]
Zurück zum Zitat P. Dayan, L.F. Abbott: Theoretical Neuroscience: Computational and Mathematical Modeling of Neural Systems (MIT, Cambridge 2001)MATH P. Dayan, L.F. Abbott: Theoretical Neuroscience: Computational and Mathematical Modeling of Neural Systems (MIT, Cambridge 2001)MATH
[38.46]
Zurück zum Zitat M. Arbib (Ed.): The Handbook of Brain Theory and Neural Networks, 2nd edn. (MIT, Cambridge 2002) M. Arbib (Ed.): The Handbook of Brain Theory and Neural Networks, 2nd edn. (MIT, Cambridge 2002)
[38.47]
Zurück zum Zitat G. Rachmuth, H.Z. Shouval, M.F. Bear, C.-S. Poon: A biophysically-based neuromorphic model of spike rate- and timing-dependent plasticity, Proc. Natl. Acad. Sci. USA 108(49), E1266–E1274 (2011)CrossRef G. Rachmuth, H.Z. Shouval, M.F. Bear, C.-S. Poon: A biophysically-based neuromorphic model of spike rate- and timing-dependent plasticity, Proc. Natl. Acad. Sci. USA 108(49), E1266–E1274 (2011)CrossRef
[38.48]
Zurück zum Zitat J. Schemmel, D. Brüderle, K. Meier, B. Ostendorf: Modeling synaptic plasticity within networks of highly accelerated I & F neurons, Int. Symp. Circuits Syst. (ISCAS, Piscataway) (2007) pp. 3367–3370 J. Schemmel, D. Brüderle, K. Meier, B. Ostendorf: Modeling synaptic plasticity within networks of highly accelerated I & F neurons, Int. Symp. Circuits Syst. (ISCAS, Piscataway) (2007) pp. 3367–3370
[38.49]
Zurück zum Zitat J.H.B. Wijekoon, P. Dudek: Compact silicon neuron circuit with spiking and bursting behaviour, Neural Netw. 21(2/3), 524–534 (2008)CrossRef J.H.B. Wijekoon, P. Dudek: Compact silicon neuron circuit with spiking and bursting behaviour, Neural Netw. 21(2/3), 524–534 (2008)CrossRef
[38.50]
Zurück zum Zitat D. Brüderle, M.A. Petrovici, B. Vogginger, M. Ehrlich, T. Pfeil, S. Millner, A. Grübl, K. Wendt, E. Müller, M.-O. Schwartz, D.H. de Oliveira, S. Jeltsch, J. Fieres, M. Schilling, P. Müller, O. Breitwieser, V. Petkov, L. Muller, A.P. Davison, P. Krishnamurthy, J. Kremkow, M. Lundqvist, E. Muller, J. Partzsch, S. Scholze, L. Zühl, C. Mayr, A. Destexhe, M. Diesmann, T.C. Potjans, A. Lansner, R. Schüffny, J. Schemmel, K. Meier: A comprehensive workflow for general-purpose neural modeling with highly configurable neuromorphic hardware systems, Biol. Cybern. 104(4), 263–296 (2011)CrossRef D. Brüderle, M.A. Petrovici, B. Vogginger, M. Ehrlich, T. Pfeil, S. Millner, A. Grübl, K. Wendt, E. Müller, M.-O. Schwartz, D.H. de Oliveira, S. Jeltsch, J. Fieres, M. Schilling, P. Müller, O. Breitwieser, V. Petkov, L. Muller, A.P. Davison, P. Krishnamurthy, J. Kremkow, M. Lundqvist, E. Muller, J. Partzsch, S. Scholze, L. Zühl, C. Mayr, A. Destexhe, M. Diesmann, T.C. Potjans, A. Lansner, R. Schüffny, J. Schemmel, K. Meier: A comprehensive workflow for general-purpose neural modeling with highly configurable neuromorphic hardware systems, Biol. Cybern. 104(4), 263–296 (2011)CrossRef
[38.51]
Zurück zum Zitat C. Tomazou, F.J. Lidgey, D.G. Haigh (Eds.): Analogue IC Design: The Current-Mode Approach (Peregrinus, Stevenage, Herts., UK 1990) C. Tomazou, F.J. Lidgey, D.G. Haigh (Eds.): Analogue IC Design: The Current-Mode Approach (Peregrinus, Stevenage, Herts., UK 1990)
[38.52]
Zurück zum Zitat S.-C. Liu, J. Kramer, G. Indiveri, T. Delbruck, R.J. Douglas: Analog VLSI: Circuits and Principles (MIT Press, Cambridge 2002) S.-C. Liu, J. Kramer, G. Indiveri, T. Delbruck, R.J. Douglas: Analog VLSI: Circuits and Principles (MIT Press, Cambridge 2002)
[38.53]
Zurück zum Zitat C. Bartolozzi, G. Indiveri: Synaptic dynamics in analog VLSI, Neural Comput. 19(10), 2581–2603 (2007)CrossRefMATH C. Bartolozzi, G. Indiveri: Synaptic dynamics in analog VLSI, Neural Comput. 19(10), 2581–2603 (2007)CrossRefMATH
[38.54]
Zurück zum Zitat E.M. Drakakis, A.J. Payne, C. Toumazou: Log-domain state-space: A systematic transistor-level approach for log-domain filtering, IEEE Trans. Circuits Syst. II 46(3), 290–305 (1999)CrossRef E.M. Drakakis, A.J. Payne, C. Toumazou: Log-domain state-space: A systematic transistor-level approach for log-domain filtering, IEEE Trans. Circuits Syst. II 46(3), 290–305 (1999)CrossRef
[38.55]
Zurück zum Zitat D.R. Frey: Log-domain filtering: An approach to current-mode filtering, IEE Proc G 140(6), 406–416 (1993) D.R. Frey: Log-domain filtering: An approach to current-mode filtering, IEE Proc G 140(6), 406–416 (1993)
[38.56]
Zurück zum Zitat S.-C. Liu, T. Delbruck: Neuromorphic sensory systems, Curr. Opin. Neurobiol. 20(3), 288–295 (2010)CrossRef S.-C. Liu, T. Delbruck: Neuromorphic sensory systems, Curr. Opin. Neurobiol. 20(3), 288–295 (2010)CrossRef
[38.57]
Zurück zum Zitat A. Destexhe, Z.F. Mainen, T.J. Sejnowski: Kinetic models of synaptic transmission. In: Methods in Neuronal Modelling, from Ions to Networks, ed. by C. Koch, I. Segev (MIT Press, Cambridge 1998) pp. 1–25 A. Destexhe, Z.F. Mainen, T.J. Sejnowski: Kinetic models of synaptic transmission. In: Methods in Neuronal Modelling, from Ions to Networks, ed. by C. Koch, I. Segev (MIT Press, Cambridge 1998) pp. 1–25
[38.58]
Zurück zum Zitat G. Indiveri, B. Linares-Barranco, T.J. Hamilton, A. van Schaik, R. Etienne-Cummings, T. Delbruck, S.-C. Liu, P. Dudek, P. Häfliger, S. Renaud, J. Schemmel, G. Cauwenberghs, J. Arthur, K. Hynna, F. Folowosele, S. Saighi, T. Serrano-Gotarredona, J. Wijekoon, Y. Wang, K. Boahen: Neuromorphic silicon neuron circuits, Front. Neurosci. 5, 1–23 (2011) G. Indiveri, B. Linares-Barranco, T.J. Hamilton, A. van Schaik, R. Etienne-Cummings, T. Delbruck, S.-C. Liu, P. Dudek, P. Häfliger, S. Renaud, J. Schemmel, G. Cauwenberghs, J. Arthur, K. Hynna, F. Folowosele, S. Saighi, T. Serrano-Gotarredona, J. Wijekoon, Y. Wang, K. Boahen: Neuromorphic silicon neuron circuits, Front. Neurosci. 5, 1–23 (2011)
[38.59]
Zurück zum Zitat P. Livi, G. Indiveri: A current-mode conductance-based silicon neuron for address-event neuromorphic systems, Int. Symp. Circuits Syst. (ISCAS) (2009) pp. 2898–2901 P. Livi, G. Indiveri: A current-mode conductance-based silicon neuron for address-event neuromorphic systems, Int. Symp. Circuits Syst. (ISCAS) (2009) pp. 2898–2901
[38.60]
Zurück zum Zitat L.F. Abbott, S.B. Nelson: Synaptic plasticity: Taming the beast, Nat. Neurosci. 3, 1178–1183 (2000)CrossRef L.F. Abbott, S.B. Nelson: Synaptic plasticity: Taming the beast, Nat. Neurosci. 3, 1178–1183 (2000)CrossRef
[38.61]
Zurück zum Zitat R.A. Legenstein, C. Näger, W. Maass: What can a neuron learn with spike-timing-dependent plasticity?, Neural Comput. 17(11), 2337–2382 (2005)MathSciNetCrossRefMATH R.A. Legenstein, C. Näger, W. Maass: What can a neuron learn with spike-timing-dependent plasticity?, Neural Comput. 17(11), 2337–2382 (2005)MathSciNetCrossRefMATH
[38.62]
Zurück zum Zitat S.A. Bamford, A.F. Murray, D.J. Willshaw: Spike-timing-dependent plasticity with weight dependence evoked from physical constraints, IEEE Trans, Biomed. Circuits Syst. 6(4), 385–398 (2012)CrossRef S.A. Bamford, A.F. Murray, D.J. Willshaw: Spike-timing-dependent plasticity with weight dependence evoked from physical constraints, IEEE Trans, Biomed. Circuits Syst. 6(4), 385–398 (2012)CrossRef
[38.63]
Zurück zum Zitat S. Mitra, S. Fusi, G. Indiveri: Real-time classification of complex patterns using spike-based learning in neuromorphic VLSI, IEEE Trans. Biomed. Circuits Syst. 3(1), 32–42 (2009)CrossRef S. Mitra, S. Fusi, G. Indiveri: Real-time classification of complex patterns using spike-based learning in neuromorphic VLSI, IEEE Trans. Biomed. Circuits Syst. 3(1), 32–42 (2009)CrossRef
[38.64]
Zurück zum Zitat G. Indiveri, E. Chicca, R.J. Douglas: A VLSI array of low-power spiking neurons and bistable synapses with spike–timing dependent plasticity, IEEE Trans. Neural Netw. 17(1), 211–221 (2006)CrossRef G. Indiveri, E. Chicca, R.J. Douglas: A VLSI array of low-power spiking neurons and bistable synapses with spike–timing dependent plasticity, IEEE Trans. Neural Netw. 17(1), 211–221 (2006)CrossRef
[38.65]
Zurück zum Zitat A. Bofill, I. Petit, A.F. Murray: Synchrony detection and amplification by silicon neurons with STDP synapses, IEEE Trans. Neural Netw. 15(5), 1296–1304 (2004)CrossRef A. Bofill, I. Petit, A.F. Murray: Synchrony detection and amplification by silicon neurons with STDP synapses, IEEE Trans. Neural Netw. 15(5), 1296–1304 (2004)CrossRef
[38.66]
Zurück zum Zitat S. Fusi, M. Annunziato, D. Badoni, A. Salamon, D.J. Amit: Spike–driven synaptic plasticity: Theory, simulation, VLSI implementation, Neural Comput. 12, 2227–2258 (2000)CrossRef S. Fusi, M. Annunziato, D. Badoni, A. Salamon, D.J. Amit: Spike–driven synaptic plasticity: Theory, simulation, VLSI implementation, Neural Comput. 12, 2227–2258 (2000)CrossRef
[38.67]
Zurück zum Zitat P. Häfliger, M. Mahowald: Weight vector normalization in an analog VLSI artificial neuron using a backpropagating action potential. In: Neuromorphic Systems: Engineering Silicon from Neurobiology, ed. by L.S. Smith, A. Hamilton (World Scientific, London 1998) pp. 191–196CrossRef P. Häfliger, M. Mahowald: Weight vector normalization in an analog VLSI artificial neuron using a backpropagating action potential. In: Neuromorphic Systems: Engineering Silicon from Neurobiology, ed. by L.S. Smith, A. Hamilton (World Scientific, London 1998) pp. 191–196CrossRef
[38.68]
Zurück zum Zitat P.A. Merolla, J.V. Arthur, R. Alvarez-Icaza, A. Cassidy, J. Sawada, F. Akopyan, B.L. Jackson, N. Imam, A. Chandra, C. Guo, Y. Nakamura, B. Brezzo, I. Vo, S.K. Esser, R. Appuswamy, B. Taba, A. Amir, M.D. Flickner, W.P. Risk, R. Manohar, D.S. Modha: A million spiking-neuron integrated circuit with a scalable communication network and interface, Science 345(6197), 668–673 (2014)CrossRef P.A. Merolla, J.V. Arthur, R. Alvarez-Icaza, A. Cassidy, J. Sawada, F. Akopyan, B.L. Jackson, N. Imam, A. Chandra, C. Guo, Y. Nakamura, B. Brezzo, I. Vo, S.K. Esser, R. Appuswamy, B. Taba, A. Amir, M.D. Flickner, W.P. Risk, R. Manohar, D.S. Modha: A million spiking-neuron integrated circuit with a scalable communication network and interface, Science 345(6197), 668–673 (2014)CrossRef
[38.69]
Zurück zum Zitat R. Serrano-Gotarredona, M. Oster, P. Lichtsteiner, A. Linares-Barranco, R. Paz-Vicente, F. Gómez-Rodriguez, L. Camunas-Mesa, R. Berner, M. Rivas-Perez, T. Delbruck, S.-C. Liu, R. Douglas, P. Häfliger, G. Jimenez-Moreno, A. Civit-Ballcels, T. Serrano-Gotarredona, A.J. Acosta-Jiménez, B. Linares-Barranco: CAVIAR: A 45k neuron, 5M synapse, 12G connects/s aer hardware sensory–processing–learning–actuating system for high-speed visual object recognition and tracking, IEEE Trans. Neural Netw. 20(9), 1417–1438 (2009)CrossRef R. Serrano-Gotarredona, M. Oster, P. Lichtsteiner, A. Linares-Barranco, R. Paz-Vicente, F. Gómez-Rodriguez, L. Camunas-Mesa, R. Berner, M. Rivas-Perez, T. Delbruck, S.-C. Liu, R. Douglas, P. Häfliger, G. Jimenez-Moreno, A. Civit-Ballcels, T. Serrano-Gotarredona, A.J. Acosta-Jiménez, B. Linares-Barranco: CAVIAR: A 45k neuron, 5M synapse, 12G connects/s aer hardware sensory–processing–learning–actuating system for high-speed visual object recognition and tracking, IEEE Trans. Neural Netw. 20(9), 1417–1438 (2009)CrossRef
[38.70]
Zurück zum Zitat E. Chicca, A.M. Whatley, P. Lichtsteiner, V. Dante, T. Delbruck, P. Del Giudice, R.J. Douglas, G. Indiveri: A multi-chip pulse-based neuromorphic infrastructure and its application to a model of orientation selectivity, IEEE Trans. Circuits Syst. I 5(54), 981–993 (2007)CrossRef E. Chicca, A.M. Whatley, P. Lichtsteiner, V. Dante, T. Delbruck, P. Del Giudice, R.J. Douglas, G. Indiveri: A multi-chip pulse-based neuromorphic infrastructure and its application to a model of orientation selectivity, IEEE Trans. Circuits Syst. I 5(54), 981–993 (2007)CrossRef
[38.71]
Zurück zum Zitat T.Y.W. Choi, P.A. Merolla, J.V. Arthur, K.A. Boahen, B.E. Shi: Neuromorphic implementation of orientation hypercolumns, IEEE Trans. Circuits Syst. I 52(6), 1049–1060 (2005)MathSciNetCrossRef T.Y.W. Choi, P.A. Merolla, J.V. Arthur, K.A. Boahen, B.E. Shi: Neuromorphic implementation of orientation hypercolumns, IEEE Trans. Circuits Syst. I 52(6), 1049–1060 (2005)MathSciNetCrossRef
[38.72]
Zurück zum Zitat M. Mahowald: An Analog VLSI System for Stereoscopic Vision (Kluwer, Boston 1994)CrossRef M. Mahowald: An Analog VLSI System for Stereoscopic Vision (Kluwer, Boston 1994)CrossRef
[38.73]
Zurück zum Zitat K.A. Boahen: Point-to-point connectivity between neuromorphic chips using address-events, IEEE Trans. Circuits Syst. II 47(5), 416–434 (2000)CrossRefMATH K.A. Boahen: Point-to-point connectivity between neuromorphic chips using address-events, IEEE Trans. Circuits Syst. II 47(5), 416–434 (2000)CrossRefMATH
[38.74]
Zurück zum Zitat A.J. Martin, M. Nystrom: Asynchronous techniques for system-on-chip design, Proc. IEEE 94, 1089–1120 (2006)CrossRef A.J. Martin, M. Nystrom: Asynchronous techniques for system-on-chip design, Proc. IEEE 94, 1089–1120 (2006)CrossRef
[38.75]
Zurück zum Zitat G. Schoner: Dynamical systems approaches to cognition. In: Cambridge Handbook of Computational Cognitive Modeling, ed. by R. Sun (Cambridge Univ. Press, Cambridge 2008) pp. 101–126 G. Schoner: Dynamical systems approaches to cognition. In: Cambridge Handbook of Computational Cognitive Modeling, ed. by R. Sun (Cambridge Univ. Press, Cambridge 2008) pp. 101–126
[38.76]
Zurück zum Zitat G. Indiveri, E. Chicca, R.J. Douglas: Artificial cognitive systems: From VLSI networks of spiking neurons to neuromorphic cognition, Cogn. Comput. 1, 119–127 (2009)CrossRef G. Indiveri, E. Chicca, R.J. Douglas: Artificial cognitive systems: From VLSI networks of spiking neurons to neuromorphic cognition, Cogn. Comput. 1, 119–127 (2009)CrossRef
[38.77]
Zurück zum Zitat M. Giulioni, P. Camilleri, M. Mattia, V. Dante, J. Braun, P. Del Giudice: Robust working memory in an asynchronously spiking neural network realized in neuromorphic VLSI, Front. Neurosci. 5, 1–16 (2011) M. Giulioni, P. Camilleri, M. Mattia, V. Dante, J. Braun, P. Del Giudice: Robust working memory in an asynchronously spiking neural network realized in neuromorphic VLSI, Front. Neurosci. 5, 1–16 (2011)
[38.78]
Zurück zum Zitat E. Neftci, J. Binas, U. Rutishauser, E. Chicca, G. Indiveri, R. Douglas: Synthesizing Cognition in neuromorphic electronic Systems, Proc. Natl. Acad. Sci. USA 110(37), E3468–E3476 (2013)CrossRef E. Neftci, J. Binas, U. Rutishauser, E. Chicca, G. Indiveri, R. Douglas: Synthesizing Cognition in neuromorphic electronic Systems, Proc. Natl. Acad. Sci. USA 110(37), E3468–E3476 (2013)CrossRef
Metadaten
Titel
Neuromorphic Engineering
verfasst von
Giacomo Indiveri
Copyright-Jahr
2015
Verlag
Springer Berlin Heidelberg
DOI
https://doi.org/10.1007/978-3-662-43505-2_38

Premium Partner