Skip to main content

2018 | OriginalPaper | Buchkapitel

5. On-Demand Fault-Tolerant Loop Processing

verfasst von : Alexandru-Petru Tanase, Frank Hannig, Jürgen Teich

Erschienen in: Symbolic Parallelization of Nested Loop Programs

Verlag: Springer International Publishing

Aktivieren Sie unsere intelligente Suche, um passende Fachinhalte oder Patente zu finden.

search-config
loading …

Abstract

Since the feature sizes of silicon devices continue to shrink, it is imperative to counter the increasing proneness to errors of modern, complex systems by applying appropriate fault tolerance measures. In this chapter, we therefore propose new techniques that leverage the advantages of self-organizing computing paradigms such as invasive computing to implement fault tolerance on multiprocessor systems-on-chips (MPSoCs) adaptively. We presented new compile time transformations that introduce modular redundancy into a loop program to protect it against soft errors. Our approach uses the abundant number of processing elements (PEs) within a tightly coupled processor array (TCPA) to claim not only one region of a processor array, but instead two (dual modular redundancy (DMR)) or three (triple modular redundancy (TMR)) contiguous neighboring regions of PEs. At the source code level, the compiler realizes these replication schemes with a program transformation that: (1) replicates a parallel loop program two or three times for DMR or TMR, respectively, and (2) introduces appropriate voting operations whose frequency and location may be chosen from three proposed variants. Which variant to choose depends, for example, on the error resilience needs of the application or the expected soft error rates. Finally, we explore the different tradeoffs of these variants regarding performance overheads and error detection latency.

Sie haben noch keine Lizenz? Dann Informieren Sie sich jetzt über unsere Produkte:

Springer Professional "Wirtschaft+Technik"

Online-Abonnement

Mit Springer Professional "Wirtschaft+Technik" erhalten Sie Zugriff auf:

  • über 102.000 Bücher
  • über 537 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Finance + Banking
  • Management + Führung
  • Marketing + Vertrieb
  • Maschinenbau + Werkstoffe
  • Versicherung + Risiko

Jetzt Wissensvorsprung sichern!

Springer Professional "Technik"

Online-Abonnement

Mit Springer Professional "Technik" erhalten Sie Zugriff auf:

  • über 67.000 Bücher
  • über 390 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Maschinenbau + Werkstoffe




 

Jetzt Wissensvorsprung sichern!

Fußnoten
1
The tiled code is obtained using outer loop parallelization which was described in detail in Sect. 3.​1.
 
2
The non-replicated tiled processor space is denoted by the set \(\mathcal {P}=\{p\ | \ p=\Phi I \wedge I\in \mathcal {J} \oplus \mathcal {K}\}\). For more details, see the definition of the space-time mapping from Sect. 2.​3.​5.
 
3
Note that π may be often chosen smaller than the latency of one loop iteration. In that case, the execution of multiple iterations does overlap (also called modulo scheduling).
 
4
For more details on how a latency of two cycles for a voting operation is obtained, we refer to Sect. 5.3.
 
5
The original iteration interval π = 1 increases by two cycles due to voting operation.
 
6
Assuming sufficient FUs are available, so that the computations are not delayed unnecessarily due to resource limitations.
 
7
Safety Integrity Levels are defined based on the Probability of Failure per Hour (PFH), namely, SIL 1: PFH = 10−5…10−6; SIL 2: PFH = 10−6…10−7; SIL 3: PFH = 10−7…10−8; SIL 4: PFH = 10−8…10−9.
 
8
A module is assumed here to be a full Processing Element (PE).
 
9
In terms of the latency L, we computed latency-optimal linear schedules for each case.
 
10
One cycle for performing a comparison and one for branching, see the code presented in Sect. 5.3.
 
11
Input data must be provided as a copy to the border PEs of each replica. Also, only voted output data must be selected and stored outside the array. For details, we refer to [HSL+13, SHT15].
 
Literatur
[AKI.
Zurück zum Zitat Alnajiar, D., Ko, Y., Imagawa, T., Konoura, H., Hiromoto, M., Mitsuyama, Y., et al. (2009). Coarse-grained dynamically reconfigurable architecture with flexible reliability. In Proceedings of the International Conference on Field Programmable Logic and Applications (FPL) (pp. 186–192). New York: IEEE. Alnajiar, D., Ko, Y., Imagawa, T., Konoura, H., Hiromoto, M., Mitsuyama, Y., et al. (2009). Coarse-grained dynamically reconfigurable architecture with flexible reliability. In Proceedings of the International Conference on Field Programmable Logic and Applications (FPL) (pp. 186–192). New York: IEEE.
[ALRL04]
Zurück zum Zitat Avižienis, A., Laprie, J.-C., Randell, B., & Landwehr, C. (2004). Basic concepts and taxonomy of dependable and secure computing. IEEE Transactions on Dependable and Secure Computing, 1(1), 11–33.CrossRef Avižienis, A., Laprie, J.-C., Randell, B., & Landwehr, C. (2004). Basic concepts and taxonomy of dependable and secure computing. IEEE Transactions on Dependable and Secure Computing, 1(1), 11–33.CrossRef
[Bol03]
Zurück zum Zitat Bolchini, C. (2003). A software methodology for detecting hardware faults in VLIW data paths. IEEE Transactions on Reliability, 52(4), 458–468.CrossRef Bolchini, C. (2003). A software methodology for detecting hardware faults in VLIW data paths. IEEE Transactions on Reliability, 52(4), 458–468.CrossRef
[Bor07]
Zurück zum Zitat Borkar, S. (2007). Thousand core chips: A technology perspective. In DAC’07 Proceedings of the 44th Annual Design Automation Conference, New York, NY, USA (pp. 746–749). Borkar, S. (2007). Thousand core chips: A technology perspective. In DAC’07 Proceedings of the 44th Annual Design Automation Conference, New York, NY, USA (pp. 746–749).
[CR10]
Zurück zum Zitat Carbin, M., & Rinard, M. C. (2010). Automatically identifying critical input regions and code in applications. In Proceedings of the 19th International Symposium on Software Testing and Analysis (ISSTA), New York, NY, USA, 2010 (pp. 37–48). Carbin, M., & Rinard, M. C. (2010). Automatically identifying critical input regions and code in applications. In Proceedings of the 19th International Symposium on Software Testing and Analysis (ISSTA), New York, NY, USA, 2010 (pp. 37–48).
[DJ99]
Zurück zum Zitat Dave, B. P., & Jha, N. K. (1999). COFTA: Hardware-software co-synthesis of heterogeneous distributed embedded systems for low overhead fault tolerance. IEEE Transactions on Computer, 48(4), 417–441.CrossRef Dave, B. P., & Jha, N. K. (1999). COFTA: Hardware-software co-synthesis of heterogeneous distributed embedded systems for low overhead fault tolerance. IEEE Transactions on Computer, 48(4), 417–441.CrossRef
[dKNS10]
Zurück zum Zitat de Kruijf, M., Nomura, S., & Sankaralingam, K. (2010). Relax: An architectural framework for software recovery of hardware faults. In Proceedings of the 37th Annual International Symposium on Computer Architecture (ISCA), New York, NY, USA, 2010 (pp. 497–508). de Kruijf, M., Nomura, S., & Sankaralingam, K. (2010). Relax: An architectural framework for software recovery of hardware faults. In Proceedings of the 37th Annual International Symposium on Computer Architecture (ISCA), New York, NY, USA, 2010 (pp. 497–508).
[EIPP08]
Zurück zum Zitat Eles, P., Izosimov, V., Pop, P., & Peng, Z. (2008). Synthesis of fault-tolerant embedded systems. In Proceedings of the Conference on Design, Automation and Test in Europe (pp. 1117–1122). Eles, P., Izosimov, V., Pop, P., & Peng, Z. (2008). Synthesis of fault-tolerant embedded systems. In Proceedings of the Conference on Design, Automation and Test in Europe (pp. 1117–1122).
[Gal08]
Zurück zum Zitat Gall, H. (2008). Functional safety IEC 61508/IEC 61511 the impact to certification and the user. In AICCSA 2008. IEEE/ACS International Conference on Computer Systems and Applications, March 2008 (pp. 1027–1031). Gall, H. (2008). Functional safety IEC 61508/IEC 61511 the impact to certification and the user. In AICCSA 2008. IEEE/ACS International Conference on Computer Systems and Applications, March 2008 (pp. 1027–1031).
[GMG96]
Zurück zum Zitat Gong, C., Melhem, R., & Gupta, R. (1996). Loop transformations for fault detection in regular loops on massively parallel systems. IEEE Transactions Parallel and Distributed Systems Impact Factor, 7(12), 1238–1249.CrossRef Gong, C., Melhem, R., & Gupta, R. (1996). Loop transformations for fault detection in regular loops on massively parallel systems. IEEE Transactions Parallel and Distributed Systems Impact Factor, 7(12), 1238–1249.CrossRef
[GSVP03]
Zurück zum Zitat Gomaa, M., Scarbrough, C., Vijaykumar, T. N., & Pomeranz, I. (2003). Transient-fault recovery for chip multiprocessors. In Proceedings of the 30th Annual International Symposium on Computer Architecture, 2003 (pp. 98–109). New York: IEEE.CrossRef Gomaa, M., Scarbrough, C., Vijaykumar, T. N., & Pomeranz, I. (2003). Transient-fault recovery for chip multiprocessors. In Proceedings of the 30th Annual International Symposium on Computer Architecture, 2003 (pp. 98–109). New York: IEEE.CrossRef
[HLD.
Zurück zum Zitat Hu, J., Li, F., Degalahal, V., Kandemir, M., Vijaykrishnan, N., & Irwin, M. J. (2009). Compiler-assisted soft error detection under performance and energy constraints in embedded systems. ACM Transactions on Embedded Computing Systems, 8(4), 27:1–27:30. Hu, J., Li, F., Degalahal, V., Kandemir, M., Vijaykrishnan, N., & Irwin, M. J. (2009). Compiler-assisted soft error detection under performance and energy constraints in embedded systems. ACM Transactions on Embedded Computing Systems, 8(4), 27:1–27:30.
[HSL.
Zurück zum Zitat Hannig, F., Schmid, M., Lari, V., Boppu, S., & Teich, J. (2013). System integration of tightly-coupled processor arrays using reconfigurable buffer structures. In Proceedings of the ACM International Conference on Computing Frontiers (CF) (pp. 2:1–2:4). New York: ACM. Hannig, F., Schmid, M., Lari, V., Boppu, S., & Teich, J. (2013). System integration of tightly-coupled processor arrays using reconfigurable buffer structures. In Proceedings of the ACM International Conference on Computing Frontiers (CF) (pp. 2:1–2:4). New York: ACM.
[INKM05]
Zurück zum Zitat Iyer, R. K., Nakka, N. M., Kalbarczyk, Z. T., & Mitra, S. (2005). Recent advances and new avenues in hardware-level reliability support. Micro, IEEE, 25(6), 18–29.CrossRef Iyer, R. K., Nakka, N. M., Kalbarczyk, Z. T., & Mitra, S. (2005). Recent advances and new avenues in hardware-level reliability support. Micro, IEEE, 25(6), 18–29.CrossRef
[KHM03]
Zurück zum Zitat Kandasamy, N., Hayes, J. P., & Murray, B. T. (2003). Transparent recovery from intermittent faults in time-triggered distributed systems. IEEE Transactions on Computers, 52(2), 113–125.CrossRef Kandasamy, N., Hayes, J. P., & Murray, B. T. (2003). Transparent recovery from intermittent faults in time-triggered distributed systems. IEEE Transactions on Computers, 52(2), 113–125.CrossRef
[KWM12]
Zurück zum Zitat Khudia, D. S., Wright, G., & Mahlke, S. (2012). Efficient soft error protection for commodity embedded microprocessors using profile information. In ACM SIGPLAN Notices (Vol. 47, pp. 99–108). New York: ACM. Khudia, D. S., Wright, G., & Mahlke, S. (2012). Efficient soft error protection for commodity embedded microprocessors using profile information. In ACM SIGPLAN Notices (Vol. 47, pp. 99–108). New York: ACM.
[LCB.
Zurück zum Zitat Leem, L., Cho, H., Bau, J., Jacobson, Q. A., & Mitra, S. (2010). Ersa: Error resilient system architecture for probabilistic applications. In Design, Automation Test in Europe Conference Exhibition (DATE), 2010 (pp. 1560–1565). Leem, L., Cho, H., Bau, J., Jacobson, Q. A., & Mitra, S. (2010). Ersa: Error resilient system architecture for probabilistic applications. In Design, Automation Test in Europe Conference Exhibition (DATE), 2010 (pp. 1560–1565).
[LTHT14]
Zurück zum Zitat Lari, V., Tanase, A., Hannig, F., & Teich, J. (2014). Massively parallel processor architectures for resource-aware computing. In Proceedings of the First Workshop on Resource Awareness and Adaptivity in Multi-Core Computing (Racing) (pp. 1–7). Lari, V., Tanase, A., Hannig, F., & Teich, J. (2014). Massively parallel processor architectures for resource-aware computing. In Proceedings of the First Workshop on Resource Awareness and Adaptivity in Multi-Core Computing (Racing) (pp. 1–7).
[LTT.
Zurück zum Zitat Lari, V., Tanase, A., Teich, J., Witterauf, M., Khosravi, F., Hannig, F., et al. (2015). A co-design approach for fault-tolerant loop execution on coarse-grained reconfigurable arrays. In Proceedings of the 2015 NASA/ESA Conference on Adaptive Hardware and Systems (AHS) (pp. 1–8). New York: IEEE. Lari, V., Tanase, A., Teich, J., Witterauf, M., Khosravi, F., Hannig, F., et al. (2015). A co-design approach for fault-tolerant loop execution on coarse-grained reconfigurable arrays. In Proceedings of the 2015 NASA/ESA Conference on Adaptive Hardware and Systems (AHS) (pp. 1–8). New York: IEEE.
[LTT.
Zurück zum Zitat Lari, V., Teich, J., Tanase, A., Witterauf, M., Khosravi, F., & Meyer, B. H. (2015). Techniques for on-demand structural redundancy for massively parallel processor arrays. Journal of Systems Architecture, 61(10), 615–627.CrossRef Lari, V., Teich, J., Tanase, A., Witterauf, M., Khosravi, F., & Meyer, B. H. (2015). Techniques for on-demand structural redundancy for massively parallel processor arrays. Journal of Systems Architecture, 61(10), 615–627.CrossRef
[LWT.
Zurück zum Zitat Lari, V., Weichslgartner, A., Tanase, A., Witterauf, M., Khosravi, F., Teich, J., et al. (2016). Providing fault tolerance through invasive computing. Information Technology, 58(6), 309–328. Lari, V., Weichslgartner, A., Tanase, A., Witterauf, M., Khosravi, F., Teich, J., et al. (2016). Providing fault tolerance through invasive computing. Information Technology, 58(6), 309–328.
[LY07]
Zurück zum Zitat Li, X., & Yeung, D. (2007). Application-level correctness and its impact on fault tolerance. In IEEE 13th International Symposium on High Performance Computer Architecture, HPCA 2007 (pp. 181–192). Li, X., & Yeung, D. (2007). Application-level correctness and its impact on fault tolerance. In IEEE 13th International Symposium on High Performance Computer Architecture, HPCA 2007 (pp. 181–192).
[MCLS11]
Zurück zum Zitat Meyer, B. H., Calhoun, B., Lach, J., & Skadron, K. (2011). Cost-effective safety and fault localization using distributed temporal redundancy. In CASES’11, October 2011. Meyer, B. H., Calhoun, B., Lach, J., & Skadron, K. (2011). Cost-effective safety and fault localization using distributed temporal redundancy. In CASES’11, October 2011.
[MKR02]
Zurück zum Zitat Mukherjee, S. S., Kontz, M., & Reinhardt, S. K. (2002). Detailed design and evaluation of redundant multi-threading alternatives. In Proceedings of the 29th Annual International Symposium on Computer Architecture’02 (pp. 99–110). New York: IEEE. Mukherjee, S. S., Kontz, M., & Reinhardt, S. K. (2002). Detailed design and evaluation of redundant multi-threading alternatives. In Proceedings of the 29th Annual International Symposium on Computer Architecture’02 (pp. 99–110). New York: IEEE.
[Muk08]
Zurück zum Zitat Mukherjee, S. (2008). Architecture design for soft errors. Burlington, MA, USA: Morgan-Kaufmann. Mukherjee, S. (2008). Architecture design for soft errors. Burlington, MA, USA: Morgan-Kaufmann.
[MZW.
Zurück zum Zitat Mitra, S., Zhang, M., Waqas, S., Seifert, N., Gill, B., & Kim, K. S. (2006). Combinational logic soft error correction. In IEEE International Test Conference, 2006. ITC’06 (pp. 1–9). New York: IEEE. Mitra, S., Zhang, M., Waqas, S., Seifert, N., Gill, B., & Kim, K. S. (2006). Combinational logic soft error correction. In IEEE International Test Conference, 2006. ITC’06 (pp. 1–9). New York: IEEE.
[Nel90]
Zurück zum Zitat Nelson, V. P. (1990). Fault-tolerant computing: Fundamental concepts. Computer, 23(7), 19–25.CrossRef Nelson, V. P. (1990). Fault-tolerant computing: Fundamental concepts. Computer, 23(7), 19–25.CrossRef
[Nic99]
Zurück zum Zitat Nicolaidis, M. (1999). Time redundancy based soft-error tolerance to rescue nanometer technologies. In Proceedings of the 17th IEEE, VLSI Test Symposium (pp. 86–94). New York: IEEE. Nicolaidis, M. (1999). Time redundancy based soft-error tolerance to rescue nanometer technologies. In Proceedings of the 17th IEEE, VLSI Test Symposium (pp. 86–94). New York: IEEE.
[OSM02]
Zurück zum Zitat Oh, N., Shirvani, P. P., & McCluskey, E. J. (2002). Error detection by duplicated instructions in super-scalar processors. IEEE Transactions on Reliability, 51(1), 63–75.CrossRef Oh, N., Shirvani, P. P., & McCluskey, E. J. (2002). Error detection by duplicated instructions in super-scalar processors. IEEE Transactions on Reliability, 51(1), 63–75.CrossRef
[Pra89]
[PBD01]
Zurück zum Zitat Punnekkat, S., Burns, A., & Davis, R. (2001). Analysis of checkpointing for real-time systems. Real-Time Systems, 20(1), 83–102.CrossRefMATH Punnekkat, S., Burns, A., & Davis, R. (2001). Analysis of checkpointing for real-time systems. Real-Time Systems, 20(1), 83–102.CrossRefMATH
[RCV.
Zurück zum Zitat Reis, G. A., Chang, J., Vachharajani, N., Rangan, R., & August, D. I. (2005). Swift: Software implemented fault tolerance. In Proceedings of the International Symposium on Code Generation and Optimization (pp. 243–254). Washington, DC, USA: IEEE Computer Society.CrossRef Reis, G. A., Chang, J., Vachharajani, N., Rangan, R., & August, D. I. (2005). Swift: Software implemented fault tolerance. In Proceedings of the International Symposium on Code Generation and Optimization (pp. 243–254). Washington, DC, USA: IEEE Computer Society.CrossRef
[RG81]
Zurück zum Zitat Rau, B. R., & Glaeser, C. D. (1981). Some scheduling techniques and an easily schedulable horizontal architecture for high performance scientific computing. SIGMICRO Newsletter, 12(4), 183–198.CrossRef Rau, B. R., & Glaeser, C. D. (1981). Some scheduling techniques and an easily schedulable horizontal architecture for high performance scientific computing. SIGMICRO Newsletter, 12(4), 183–198.CrossRef
[SGFH06]
Zurück zum Zitat Smolens, J. C., Gold, B. T., Falsafi, B., & Hoe, J. C. (2006). Reunion: Complexity-effective multicore redundancy. In Proceedings of the 39th Annual IEEE/ACM International Symposium on Microarchitecture (pp. 223–234). Washington, DC, USA: IEEE Computer Society. Smolens, J. C., Gold, B. T., Falsafi, B., & Hoe, J. C. (2006). Reunion: Complexity-effective multicore redundancy. In Proceedings of the 39th Annual IEEE/ACM International Symposium on Microarchitecture (pp. 223–234). Washington, DC, USA: IEEE Computer Society.
[SHT15]
Zurück zum Zitat Sousa, E. R., Hannig, F., & Teich, J. (2015). Reconfigurable buffer structures for coarse-grained reconfigurable arrays. In Proceedings of the 5th IFIP International Embedded Systems Symposium (IESS). Lecture notes in computer science. Berlin: Springer. Sousa, E. R., Hannig, F., & Teich, J. (2015). Reconfigurable buffer structures for coarse-grained reconfigurable arrays. In Proceedings of the 5th IFIP International Embedded Systems Symposium (IESS). Lecture notes in computer science. Berlin: Springer.
[SSE.
Zurück zum Zitat Schweizer, T., Schlicker, P., Eisenhardt, S., Kuhn, T., & Rosenstiel, W. (2011). Low-cost TMR for fault-tolerance on coarse-grained reconfigurable architectures. In Proceedings of the International Conference on Reconfigurable Computing and FPGAs (ReConFig) (pp. 135–140). New York: IEEE. Schweizer, T., Schlicker, P., Eisenhardt, S., Kuhn, T., & Rosenstiel, W. (2011). Low-cost TMR for fault-tolerance on coarse-grained reconfigurable architectures. In Proceedings of the International Conference on Reconfigurable Computing and FPGAs (ReConFig) (pp. 135–140). New York: IEEE.
[TAB.
Zurück zum Zitat Tylka, A. J., Adams, J. H., Boberg, P. R., Brownstein, B., Dietrich, W. F., Flueckiger, E. O., et al. (1997). CREME96: A revision of the cosmic ray effects on micro-electronics code. IEEE Transactions on Nuclear Science, 44(6), 2150–2160.CrossRef Tylka, A. J., Adams, J. H., Boberg, P. R., Brownstein, B., Dietrich, W. F., Flueckiger, E. O., et al. (1997). CREME96: A revision of the cosmic ray effects on micro-electronics code. IEEE Transactions on Nuclear Science, 44(6), 2150–2160.CrossRef
[TGR.
Zurück zum Zitat Teich, J., Glaß, M., Roloff, S., Schröder-Preikschat, W., Snelting, G., Weichslgartner, A., et al. (2016). Language and compilation of parallel programs for *-predictable MPSoC execution using invasive computing. In 2016 IEEE 10th International Symposium on Embedded Multicore/Many-core Systems-on-Chip (MCSOC) (pp. 313–320). Teich, J., Glaß, M., Roloff, S., Schröder-Preikschat, W., Snelting, G., Weichslgartner, A., et al. (2016). Language and compilation of parallel programs for *-predictable MPSoC execution using invasive computing. In 2016 IEEE 10th International Symposium on Embedded Multicore/Many-core Systems-on-Chip (MCSOC) (pp. 313–320).
[TP13]
Zurück zum Zitat Thomas, A., & Pattabiraman, K. (2013). Error detector placement for soft computation. In 2013 43rd Annual IEEE/IFIP International Conference on Dependable Systems and Networks (DSN) (pp. 1–12). Thomas, A., & Pattabiraman, K. (2013). Error detector placement for soft computation. In 2013 43rd Annual IEEE/IFIP International Conference on Dependable Systems and Networks (DSN) (pp. 1–12).
[TWT.
Zurück zum Zitat Tanase, A., Witterauf, M., Teich, J., Hannig, F., & Lari, V. (2015). On-demand fault-tolerant loop processing on massively parallel processor arrays. In Proceedings of the 26th IEEE International Conference on Application-Specific Systems, Architectures and Processors (ASAP) (pp. 194–201). New York: IEEE. Tanase, A., Witterauf, M., Teich, J., Hannig, F., & Lari, V. (2015). On-demand fault-tolerant loop processing on massively parallel processor arrays. In Proceedings of the 26th IEEE International Conference on Application-Specific Systems, Architectures and Processors (ASAP) (pp. 194–201). New York: IEEE.
[WBB.
Zurück zum Zitat Wildermann, S., Bader, M., Bauer, L., Damschen, M., Gabriel, D., Gerndt, M., et al. (2016). Invasive computing for timing-predictable stream processing on MPSoCs. Information Technology, 58(6), 267–280. Wildermann, S., Bader, M., Bauer, L., Damschen, M., Gabriel, D., Gerndt, M., et al. (2016). Invasive computing for timing-predictable stream processing on MPSoCs. Information Technology, 58(6), 267–280.
[WTT.
Zurück zum Zitat Witterauf, M., Tanase, A., Teich, J., Lari, V., Zwinkau, A., & Snelting, G. (2015). Adaptive fault tolerance through invasive computing. In Proceedings of the 2015 NASA/ESA Conference on Adaptive Hardware and Systems (AHS) (pp. 1–8). New York: IEEE. Witterauf, M., Tanase, A., Teich, J., Lari, V., Zwinkau, A., & Snelting, G. (2015). Adaptive fault tolerance through invasive computing. In Proceedings of the 2015 NASA/ESA Conference on Adaptive Hardware and Systems (AHS) (pp. 1–8). New York: IEEE.
Metadaten
Titel
On-Demand Fault-Tolerant Loop Processing
verfasst von
Alexandru-Petru Tanase
Frank Hannig
Jürgen Teich
Copyright-Jahr
2018
DOI
https://doi.org/10.1007/978-3-319-73909-0_5

Neuer Inhalt