Skip to main content

2024 | Buch

Proceedings of SIE 2023

54th Annual Meeting of the Italian Electronics Society

insite
SUCHEN

Über dieses Buch

This book showcases the state of the art in the field of electronics, as presented by researchers and engineers at the 54th Annual Meeting of the Italian Electronics Society (SIE), held in Noto (SR), Italy, on September 6–8, 2023. It covers a broad range of aspects, including: integrated circuits and systems, micro- and nano-electronic devices, microwave electronics, sensors and microsystems, optoelectronics and photonics, power electronics, electronic systems and applications.

Inhaltsverzeichnis

Frontmatter

Integrated Circuits and Systems

Frontmatter
A Binary Pattern Matching Task Performed in an ePCM-Based Analog In-Memory Computing Unit

This paper shows the results related to a Binary Pattern Matching (BPM) task executed on an Analog In-memory Computing (AIMC) unit based on an embedded Phase-Change Memory (ePCM), both designed in a 90-nm CMOS STMicroelectronics technology. The Hit Rate in pattern recognition is characterized and modeled in different scenarios, in order to evaluate the influence of cells Conductance Time Drift (CTD) in a real application. In particular, two PCM multilevel programming algorithms and different cells conductances are considered, and their effects on CTD are experimentally observed. Results suggest that the adoption of a SET staircase (SSC) sequence implies a lower CTD on PCM cells with respect to a RESET Staircase (RSC) sequence, as well as an increased Hit Rate, even with lower levels of employed conductance.

Francesco Zavalloni, Alessio Antolini, Andrea Lico, Eleonora Franchi Scarselli, Mattia Luigi Torres, Riccardo Zurla, Marco Pasotti
Carry-Chain Based Ring Oscillator for FPGA: Design and Characterization

As it is well known, ring oscillators (ROs) are basic building blocks for a plenty of applications. Despite of its simplicity, implementing such a circuit on FPGA devices must cope with several challenges. First of all, time-consuming manual placement and routing (P &R) techniques have to be adopted to avoid unpredictable frequency behavior. Furthermore, the sensitivity of ROs to voltage/temperature variations could not fit the specific application requirements. For these reasons, we investigated alternative solutions and here, for the first time, we disclose a very simple, but effective, technique to exploit carry-chains widely available in FPGA devices to realize ROs. A comprehensive characterization of the proposed architecture is also provided.

Fanny Spagnolo, Pasquale Corsonello
Design and Analysis of a Voltage Schmitt Trigger in 4H-SiC CMOS Technology

A CMOS Schmitt trigger based on 4H-SiC CMOS 2 $$\mu m$$ μ m technology is presented. A standard topology of the trigger is used, but the classical design equations are inapplicable due to the different 4H-SiC MOSFETs electrical behaviours compared to silicon ones, like high traps density at oxide/semiconductor interface. Numerical simulations at various temperatures between 298K and 573K have been performed, showing a variation of the Schmitt trigger hysteresis window even to $$7.07\%$$ 7.07 % . To asses process variability effects, Monte Carlo analysis has been performed at 298K showing a trigger high, $$V_H$$ V H , and low, $$V_L$$ V L , threshold voltages deviations, respectively, of $$7.15\%$$ 7.15 % and $$9.97\%$$ 9.97 % from their nominal value. Finally, MOSFETs threshold voltage has been identified as the process parameter that mostly affect circuit operation.

Nicola Rinaldi, Rosalba Liguori, Alfredo Rubino, Gian Domenico Licciardo, Luigi Di Benedetto
Nonlinear Adaptive Biasing for Low-Voltage Class-AB OTAs

An adaptive biasing circuit suitable for very low-voltage design of class-AB symmetrical transconductance operational amplifiers (OTAs) is presented. A non-linear current mirror is exploited to enhance the maximum tail current as a function of the input voltage, achieving a super class-AB behavior. Robustness to process and voltage supply variations and advantages of the proposed solution are demonstrated by designing a 0.6 V class-AB OTA with adaptive biasing in 0.13-μm CMOS technology, and evaluating its performance in unity-gain buffer configuration. The use of the proposed adaptive bias circuit provides a 20x slew-rate improvement with respect to the same OTA biased at constant current.

Gianluca Barile, Francesco Centurelli, Davide Colaiuda, Giuseppe Ferri, Pietro Monsurrò, Leonardo Pantoli, Vincenzo Stornelli, Pasquale Tommasino, Alessandro Trifiletti
An Ultra Low Voltage Physical Unclonable Function Exploiting Body-Driven

In this work we propose a 0.3V Physical Unclonable Function (PUF) exploiting body-driven feedbacks to provide digital outputs. The proposed PUF has been implemented and measured in a 130nm technology from ST-Microelectronics, showing state of the art performances.

Riccardo Della Sala, Davide Bellizia, Francesco Centurelli, Giuseppe Scotti, Alessandro Trifiletti
Accelerating Quantized DNN Layers on RISC-V with a STAR MAC Unit

To support quantized neural networks in low-end CPUs, we propose STAR MAC, a reconfigurable multiply-and-accumulate unit based on a modified Baugh-Wooley architecture that operates at a variable reduced precision. We integrated it in a small RISC-V processor called Ibex obtaining an acceleration up to 5.8 $$\times $$ × in Fully-Connected (FC) layers, 3.7 $$\times $$ × in 2D-Convolution (2DConv) layers, and 2.8 $$\times $$ × in Depth-Wise Convolution (DWConv) layers, with respect to the original Ibex core (Orig.), and up to 4.5 $$\times $$ × in FC layers, 3.0 $$\times $$ × in 2DConv layers, and 2.3 $$\times $$ × in DWConv layers, against a modified Ibex core supporting standard 32-bit MAC operations (Orig.+MAC). Area and power in a 28-nm technology with 200 and 600 MHz target clock frequency are 0.015 and 0.017 mm $$^2$$ 2 , and 1.5 and 4.3 mW, respectively, with a limited overhead within 10% and 3% with respect to Orig., and within 3% and 3% against Orig.+MAC.

Edward Manca, Luca Urbinati, Mario R. Casu
Design of a 1st-Order Continuous-Time Modulator with a Digital-Based Floating-Inverter Integrator

This paper proposes the design and simulation of an ultra-low-power (ULP) digital-based 1st-order continuous-time Sigma-Delta (CT- $$\sum \Delta$$ ∑ Δ ) modulator for Internet of Things (IoT) applications. Simulation results in a 180-nm standard CMOS technology, demonstrating that the overall modulator consumes only 47 nW, which is considerably low compared to the state-of-the-art. The CT- $$\sum \Delta$$ ∑ Δ modulator, operating at a supply voltage of 0.4 V and at a 500Hz bandwidth, achieves a spurious-free dynamic range (SFDR) of 54 dB and a signal-to-noise-and-distortion ratio (SNDR) of 47.41 dB, corresponding to an effective number of bits (ENOB) of 7.6 bits and to a Schreier Figure-of-Merit (FOMS) of 147.678-dB. To the best of the author's knowledge, the overall results do not showcase a significant improvement in the field of CT- $$\sum \Delta$$ ∑ Δ . Nonetheless, it is crucial to emphasize that we are at the forefront of pioneering a new approach, and these results stand as the current best achievements.

Hossein Firouzkouhi, José De la Rosa, Paolo Crovetti
Towards Analog Neural Networks Integrated in Detectors Readout

The conceptual design of a novel ASIC in 0.35 μm CMOS technology implementing in an analog way an artificial neural network (ANN) with 64 inputs, 2 20-neuron hidden layers and 2 outputs is presented. The ANN was optimized to address the application in medical imaging based on emission tomography, in particular the estimation of the position of absorption of a gamma-ray photon in a crystal scintillator readout by a planar array of silicon photomultipliers. The key advantage of this analog approach, as opposed to digital ones, is the possibility of integrating local processing with the detector front-end and, thus, significantly reduce the number of signals (from 64 to 2) that have to be transmitted and digitized. This would allow an easier scale up of scanners for molecular imaging and diagnostics with larger fields of view, i.e. larger amount of imaging modules and/or pixels per module. However, given the widespread use of ANNs in a broad variety of sensing contexts, we believe that such as concept could be extended to several applications beyond gamma cameras.

Susanna Di Giacomo, Michele Ronchi, Marco Carminati, Carlo Fiorini

Micro-and Nano-Electronic Devices

Frontmatter
Printable Thermoelectric Device for Low Temperature Energy Harvesting

Thermoelectric (TE) devices and thermoelectro-galvanic (TEG) cells harvest energy by converting waste-heat (dissipative form of energy) into electrical energy (precious form with conveyable features). The combination of features like processability, flexibility, lightweight, nontoxicity, low thermal conductivity and high chemical stability in organic electric conductors make them novel palatable materials for thermal energy conversion.

Andrea Reale, Luigi Vesce, Aldo Di Carlo, Edoardo Marchini, Stefano Carli, Stefano Caramori
Validation of Thermometer-Based Techniques to Experimentally Extract the Impact of Nonlinear Thermal Effects on the Thermal Resistance of Bipolar Transistors

In this paper, two techniques developed to experimentally extract the influence of nonlinear thermal effects on the thermal resistance of bipolar transistors are tested. The validation is performed by applying the techniques to data obtained from DC electrothermal simulations of a transistor modeled with HICUM/L2, where an accurate formulation of the thermal resistance accounting for nonlinear thermal effects is implemented.

Ciro Scognamillo, Antonio Pio Catalano, Markus Müller, Michael Schröter, Vincenzo d’Alessandro

Microwave Electronics

Frontmatter
Characterization of PIN Diode for T-R Radar Limiter Design at X-Band

This paper introduces a new characterizing method for packaged PIN diodes. The method is specifically oriented to the design of microwave transmitting-receiving (TR) radar limiters in steady-state operating conditions. Characterization were carried out at X-band in both ON $$(V_{bias}=10$$ ( V bias = 10 V) and OFF $$(V_{bias}=0$$ ( V bias = 0 V) PIN diode bias states, and varying its position inside a WR90 waveguide based test JIG. The effects of the JIG as well as the diode package parasitics have been de-embedded from the experimental data by means of a accurate EM simulations. The resulting extracted PIN diode Y parameters represent the basis to develop of a PIN diode lumped element model. The model has been adopted to predict the steady state TR limiter performances across X-band.

Giovanni Collodi, Maria Fancelli, Monica Righini, Roberto Galassi, Luigi Volpi, Enrico Vetrano, Alessandro Cidronali
Multibias TCAD Analysis of Trap Dynamics in GaN HEMTs

In this paper we exploit numerically efficient physical simulations to investigate the link between GaN HEMT low-frequency dispersion and the concentration of Fe induced buffer traps. We demonstrate that the sensitivity of the AC output conductance to trap concentration shows markedly different behavior according to the bias point, either in saturation or in the linear region at the HEMT knee voltage. The frequency dependency and the sensitivity of AC parameters in multiple bias points yield a deeper understanding of trap behavior and allow for easier identification of buffer trap signature in characterization data.

E. Catoggio, S. Donati Guerrieri, F. Bonani
Exploiting Millimeter-Wave Radars to Enable Accurate Gesture Recognition for the Metaverse Environment

This contribution aims to demonstrate the potential of highly integrated millimeter-wave radars in the framework of metaverse applications. In detail, a 122 GHz radar is mounted on glass frames, with the task to detect hand gestures, thus enabling more immersive and interactive user experiences. Current metaverse glasses exploit camera sensor to recognize the user gestures. The proposed system has the purpose to overcome the known limitations of the current camera-based technology, mainly due to the poor radial range detection accuracy and performance dependance on the light conditions. In this contribution, some gestures whereby a camera-based system could fail are properly detected during the experimental activity. The radar detection mainly exploits the phase-analysis to extract the displacement and the micro-Doppler signature. This work paves the way for the next generation interactive systems in the metaverse environment whereby the radar technology might be exploited along with the traditional sensors to provide an advanced, complete, and reliable interaction to the users.

Emanuele Cardillo, Luigi Ferro, Davi V. Q. Rodrigues
A Ka-Band Ultra-Low Power GaAs MMIC LNA

In this paper, a low-noise amplifier (LNA) having ultra-low voltage is presented, intended for active antenna SATCOM applications. The amplifier is composed of three common-source stages with inductive source degeneration and is realized in a 0.1 $$\upmu $$ μ m GaAs process provided by United Monolithic Semiconductors (UMS). The proposed LNA can operate at a sub-Volt supply voltage (nominally 0.7 V, but even lower if some performance degradation is accepted). The LNA provides a gain of 26 dB and a noise figure below 1.6 dB across an operative band from 27 to 31 GHz, while consuming only 18.9 mW. The output third-order intercept point is 13.8 dBm at 29 GHz and the saturated output power is 3.8 dBm. The LNA survived repeated input power sweeps up to 5 dBm and a 24 h stress test at $$-7$$ - 7 dBm without degradation. Chip size is $$2.3 \times 1.4$$ 2.3 × 1.4 mm2.

Shikha Sharma, Sergio Colangeli, Patrick Longhi, Walter Ciccognani, Antonio Serino, Ernesto Limiti
Key-Components for Ultra-low DC Power Gallium Nitride Low-Noise Receivers

The availability of low-noise and low-voltage Gallium Nitride technologies enables new microwave receivers concepts for satellite payloads. In this note we present the potentials, benefits and drawbacks of a Low-Noise Amplifier at Ka-band operating at rather low drain voltages in a 100-nm gate length technology. Possible receiver improvements thanks to robust Gallium Nitride Low-Noise Amplifiers are highlighted. A complete R &D flow from device modelling, through circuit design to MMIC characterization is presented, focusing on low drain voltage operation. Interesting linear and noise measured performance of a Ka-band Low-Noise Amplifier are given, showing that GaN Low-Noise Amplifiers can be operated as low as +2 V drain voltage, while maintaining reasonable nonlinear performance.

Patrick E. Longhi, Walter Ciccognani, Sergio Colangeli, Ernesto Limiti

Sensors Microsystems and Instrumentation

Frontmatter
Chloride Activity Sensing in Sweat for Cystic Fibrosis Diagnosis by Biocompatible Flexible Tag

The pioneering design of a wearable miniaturized and flexible potentiometric chloride activity sensing platform to provide real-time diagnosis and monitoring of Cystic Fibrosis (CF) is here presented. To ensure wearable and comfortable functionality, a flexible support has been employed for both the electrodes monitoring the changes in ion concentration in the sample solution and the smart readout electronics. The proposed electronic system embeds a microcontroller, enabling potential analysis of the acquired data together with the patient history and an RF module. It ensures wireless transmission of measurements and diagnosis to a remote healthcare center. Experimental results on proposed device showed that the developed bendable electrodes have Nernstian sensitivity (about 57 mV/dec of chloride concentration) within the 5 mmol/l–75 mmol/l range, which is relevant for CF screening. The proposed system is capable of detecting chloride concentrations with error margin spanning from ±0.16 mM (around 5 mmol/l) to ±2.94 mM (in the worst-case at 100 mmol/l) using a 50 µl of sweat sample. The presence of a μController enables the AI data analysis, making possible the diagnosis and the follow-up monitoring of patients under pharmaceutical treatments. The results closely match the precision of specialized medical equipment, suggesting the potential utility of this device in the fight against CF.

Daniela De Venuto, Domenica De Venuto, Giovanni Mezzina, Grazia Mascellaro, Luisa Torsi
Updates on the Multi-channel LYRA ASIC for X/- Ray Spectrometer Onboard HERMES Space Mission

The upgraded version of the front-end electronics of the X-/ $$\gamma $$ γ -ray detection instrument of the HERMES mission, whose goal is to observe and localize Gamma Ray Bursts (GRBs), through a constellation of nano-satellites, is presented. Since the detection unit is composed of 120 Silicon Drift Detectors (SDDs), coupled to 60 Cesium-doped Gadolinium-Aluminum Gallium Garnet (Ce: GAGG) scintillators and long connections between the preamplifier and detector must be avoided, the readout ASIC, named LYRA, has been organized in a multi-chip architecture: 120 Front-End (LYRA-FE) chips placed close to the anode of the SDDs send their pre-processed signals, in current mode, to four 32-channel Back-End chip (LYRA-BE). LYRA ASIC has a maximum input charge specification of 5.2 fC (2.2 MeV in GAGG) with a linearity error between ±1.5%. An upgraded version of the LYRA-BE ASIC has been designed to improve uniformity due to process, voltage and temperature (PVT) variations between channels in terms of parameters and performance. The simulated intrinsic Equivalent Noise Charge is 14 electrons rms at 1.6 $$\upmu $$ μ s peaking time with a nominal power consumption of 600 $$\upmu $$ μ W/channel. From Monte Carlo simulations, significant improvements are visible in the dispersion of parameters between channels. The dispersion of the channel trigger delay was reduced from 42.5% to 11% (±165 ns), from 26% to 11% in the conversion gain.

Irisa Dedolli, Filippo Mele, Giuseppe Bertuccio
Low-Frequency Impedance Measurements for Biosensing Applications

In this paper, we present a cost-effective, compact, and versatile circuit designed for impedance measurements at ultra-low frequencies. The core of the circuit is a voltage-to-current converter with high output resistance, based on a Howland current pump derived from a highly reliable integrated circuit device. A precision current divider is cascaded to the current generator, and it is used to drive extremely low signals, allowing for high impedance measurements. A buffer output stage allows for the independent measures of load voltage and current. The circuit features selectable voltage-to-current conversion coefficient from 200 nA/V to 200 μA/V thanks to three different configurations enabled by two switches, ensuring modularity and versatility for different applications. More than characterizing the circuit through resistive and capacitive measurements, its performances have been validated through the measurement of the RC-network emulating a diamond biosensor designed for Electrochemical Impedance Spectroscopy, comparing the results with those reported in the literature. The proposed method shows excellent performances down to the mHz range, for resistances up to 100 MΩ, demonstrating the effectiveness in measuring signals from biosensors.

Armando Piccardi, Sara Pettinato, Maria Cristina Rossi, Stefano Salvatori
Design, Development and Test of Electronic Interface for Photoplethysmography Devices

PhotoPlethysmoGraph is an instrument for measuring volumetric changes in blood in peripheral circulation due to heart activity. Wearable heart rate sensors that rely on PPG are becoming more popular, but, usually, manufacturers do not disclose specifications or allow access to raw data. This work aims to design, develop, and test an electronic interface for PPG devices suitable for specific applications where it's crucial to control all the parameters and where quality and integrity of measurements are unquestionable. The characterization of the realized analog front-end and the evaluation of the effectiveness of the device are shown.

Alessandro Zompanti, Marco Santonico, Giorgio Pennazza
Towards Plug and Play and Portable BCIs: Embedding Artifacts Rejection and Machine Learning on Wireless EEG Headset

This paper presents a pioneering feasibility study focusing on the development of an innovative device designed for the smart acquisition of electro-encephalographic (EEG) signals. The perspective device must be engineered to integrate Brain-Computer Interface (BCI) functionalities at headset level. Currently, the functions of EEG headsets are confined to the mere acquisition and transmission of EEG signals due to resource limitations imposed by the onboard microcontroller. Additionally, factors like noisy environments, the use of wet electrodes, and improper electrode setups degrade the performance of BCIs, limiting their adaptability to real-world contexts and relegating them primarily to laboratory settings. This work aims to surmount these challenges by implementing a BCI architecture on the EEG headset micro-controller, harnessing the power of the TinyML paradigm. The objective is to establish a user-friendly “plug and play” system capable of robust operation even in less-than-optimal usage conditions. An embedded BCI system featuring eight dry electrodes, self-setup for EEG headsets, in-headset computation, and testing in uncontrolled environments has been designed and evaluated on six subjects for this feasibility study. In the context of a 12-choice P300 speller matrix classification problem, the implemented embedded system has demonstrated performance levels in alignment with the state of the art, even when subjected to usage conditions not standard for BCIs.

Giovanni Mezzina, Daniela De Venuto
In-Sensor System for Real-Time Compensation of Thermal Drift in MEMS Pressure Sensors

MEMS pressure sensors have emerged as crucial components in a wide range of applications, spanning from consumer to industrial sectors, where the demand for accurate and reliable sensing solutions has increased. However, they suffer from considerable sensitivity to temperature fluctuations, potentially leading to significant accuracy drift caused by thermal stresses. To address the above issue, this paper proposes a novel circuit design for real-time compensation of thermal drift, with enough low power and area requirements to be closely coupled with the MEMS. The circuit implements a custom HW accelerator of a neural network, capable of dynamically resolving accuracy drift by up to 1.6 hPa, and restoring the accuracy to within ±0.5 hPa range of correct operation. TSMC 130 nm CMOS synthesis results of the proposed system show an area of 0.0373 mm $$^2$$ 2 and a dynamic power consumption of 1.07 $$\upmu $$ μ W. These results highlight the system’s integration potential toward a compact self-compensating sensor.

Paola Vitolo, Gian Domenico Licciardo, Rosalba Liguori, Luigi Di Benedetto, Alfredo Rubino, Danilo Pau, Massimo Pesaturo
A Portable BLE-Controllable Micropump Driver for Lab-on-Chip Applications

Research in the deployment of compact systems for microfluidic analysis is gathering attention from the industrial and academic world. In this work, we propose a portable battery-powered driver for active micropumps. The driver is remotely-controllable through a Bluetooth Low-Energy (BLE) interface deployed by means of a System-on-Chip (SoC). To ensure the stability of the generated flow, a feedback mechanism was realized. Preliminary characterization results prove the effectiveness of the proposed design and its low power consumption.

Giuseppe Coviello, Antonello Florio, Giuseppe Brunetti, Francesco Rocco Nardelli, Caterina Ciminelli, Gianfranco Avitabile
Characterization of a Novel SiPM Sensor Interface Using an Off-the-Shelf Second-Generation Voltage Conveyor

A novel SiPM interface using an off-the-shelf Second-Generation Voltage Conveyor (VCII) has been developed and characterized through simulations and test experiments. The proposed design aims to reduce the impact of the large SiPM capacitance without affecting the circuit bandwidth. A simple SiPM interface prototype was implemented using a current-feedback operational amplifier, AD844 from Analog Devices Inc., operating as VCII. The prototype board was tested, reading out a 3 × 3-mm2 SiPM, Hamamatsu S13360-3025CS, in an experimental setup comprising a dark box and a pulsed green LED as the internal light source. The signal rise time of ~18 ns was obtained for single photon pulses with the signal-to-noise ratio >30 in pulse height. The frequency response of the circuit was simulated for different transimpedance gains, and the experimental results were verified by transient analyses. Furthermore, a second scenario with a custom-made VCII, designed using discrete components, was compared with the AD844 option at the simulation level. The results showed significant improvements in time characteristics.

Davide Colaiuda, Alfiero Leoni, Gianluca Barile, Sosuke Horikawa, Kaori Kondo, Vincenzo Stornelli, Giuseppe Ferri
Quick SPICE-Based Heat Transfer Estimator for QFN Packages on Multilayer PCB

The thermal design of Printed Circuit Boards (PCBs) is paramount for compact sensing applications featuring power-hungry on-board computing devices and to enhance devices lifespan. Traditionally, PCB thermal analysis use complex software tools with fluid dynamics or finite element (FEM) solvers, however, they are time-consuming. It limits rapid prototyping. This paper addresses this issue by introducing an automated tool for quick simulation of heat transfer in PCBs, focusing on cases involving quad-flat no-lead (QFN) packages. The tool aims to reduce simulation time and facilitate the design process for faster prototyping. The tool proposed in this study translates the thermal behavior of the PCB into an equivalent resistive network, which adjusts to the composition of the metal, dielectric, and solder mask in the analyzed regions. It incorporates image processing algorithms to identify thermal connections and reconstruct multi-layer structures, even with irregularly shaped metal areas. Simulation tests on a PCB sample demonstrated that the proposed tool provides results comparable (<2 ℃ within an area that can achieve up to 24.6 cm2 from QFN) with a professional FEM thermal analysis software but 91.16x faster.

Giovanni Mezzina, Alberto F. Brunetti, Cataldo L. Saragaglia, Giuseppe Spadavecchia, Daniela De Venuto
Charge Collection, Trapping and Release Phenomena in UV and X-ray Diamond Detectors with Laser Structured 3D Contact Architecture

Charge collection, trapping and release processes are investigated by spectrally resolved photocurrent measurements in unintentionally doped, single crystal diamond samples with different contact structures: planar (i.e., metal contacts on the top and bottom sides) and laser-formed three dimensional (3D) graphitic contacts. Under high energy pulsed X-ray excitation, charge releasing effects are observed, whose contribution affects the response linearity of the 3D detector, which can be recovered using a suitable photo-signal acquisition through a proper detection electronics.

Sara Pettinato, Maria Cristina Rossi, Stefano Salvatori
A Configurable Readout Circuit for Detector Signal Conditioning

This work describes a cost-effective circuit for precision conditioning of radiation detectors. The easily configurable readout electronics allows acquiring either DC currents or pulse charge packets, thus satisfying the main requirements for the signal conditioning of detectors under continuous or pulsed irradiation. A precision switched integrator is the core of the front-end, whereas the system versatility is guaranteed by exploiting high performance peripherals integrated in the microcontroller that implements the timing control of the readout electronics. In particular, a current-to-time measurement method is carried out for DC-signal acquisitions, thus mitigating errors due to offset voltages. On the other hand, a two-phase integration procedure is performed for pulsed-current measurements reducing both the offset voltage and the leakage current contributions on the measurements, thereby increasing the system accuracy. The prototypal circuit has been tested in relevant environments for acquiring the signal photogenerated by diamond detectors irradiated by ultraviolet light (continuous) or high-energy X-ray photons (pulsed). Experimental results demonstrate the excellent performance of the proposed system in terms of linearity (R2 = 0.99999), a resolution of 10-bit, and the real-time monitoring capability for pulsed irradiation with repetition frequencies up to 1 kHz.

Stefano Salvatori, Sara Pettinato, Maria Cristina Rossi
Low-Noise Tunable DC-DC Converter for High-Resistance Thin Film Heaters

In this work, we present a low-noise tunable DC-DC converter designed for driving high-resistance thin film heaters (in the order of a few of k ). The converter features a two-stage design comprising a voltage-boosting initial stage and a subsequent voltage-regulating stage where carefully selected LC and RC filters are employed to achieve low output ripple and minimize electromagnetic interference. In particular, the first stage elevates the input voltage to 120 V, while the second stage enables precise voltage control using a PWM-controlled synchronous buck converter. Experimental results demonstrate linearity within 2–120 V, with sub-millivolt ripples and minimal high-frequency noise (below 10 mV). This converter offers a reliable power supply solution for precise temperature control, improving thermal management and performance of high-resistance thin film heaters for several applications.

N. Lovecchio, F. Menichelli, V. Di Meo, S. Casalinuovo, A. Crescitelli, E. Esposito, G. de Cesare, D. Caputo

Optoelectronics and Photonics

Frontmatter
High-Sensitivity Biosensing with Silicon Photonic Ring Resonators

Silicon ring resonators have emerged as a very promising technology in the field of medical diagnostics, with many applications for the highly sensitive detection of analytes associated with pathological conditions. In our work, we report on the design, fabrication, and preliminary characterization of miniaturized silicon-on-insulator integrated optical ring resonators, showcasing their capability to detect biomarkers with high sensitivity. The results contribute to the advancement of silicon-based optical biosensors and lay the basis for future developments in the field of medical diagnostics.

A. la Grasta, M. De Carlo, N. Ardoino, R. Favaretto, Y. Ding, V. M. N. Passaro, F. Dell’Olio
Advanced Integrated Optical Devices for Ultrasound Diagnostics

The Lab-on-Fiber technology contributes to the development of compact and minimally invasive biomedical devices. By exploiting the high degree of miniaturization combined with the material biocompatibility, these devices can be integrated inside medical needles and placed into the human body for in vivo diagnosis and treatments, with important advantages in terms of performance, effectiveness, and invasiveness. In this framework, with a look toward high-resolution ultrasound-based diagnostics, a novel Lab-on-Fiber 3D micro-structure for ultrasound detection is analyzed, characterized by a polymeric membrane sustained by six pillars above a single-mode fiber tip. Such a structure essentially works as a Fabry-Perot cavity. Based on the finite element method, our numerical studies demonstrated that optimal geometrical parameters allow tuning the working frequency range up to tens of MHz, achieving sensitivities higher than the standard configurations proposed so far, given by polymeric slabs directly attached above the fiber tip. Two-Photon polymerization techniques enable the direct fabrication of the structure on the optical fiber tip.

B. Rossi, M. Giaquinto, M. A. Cutolo, A. Cusano, A. Cutolo
Effect of Doping Concentration and Band Offset on the Efficiency of Homojunction Perovskite Solar Cells

In the field of renewable energy sources, perovskite solar cells have garnered significant attention thanks to remarkable power conversion efficiency and low fabrication cost. Among various attempts explored to enhance efficiency, p-n homojunction perovskite recently emerged as a promising approach. Leveraged from the inbuilt electric field inside the absorbing layer, it provides better charge separation and thus reduces the recombination. This paper presents an in-depth analysis of the homojunction perovskite solar cell performance with the p and n doping concentration as well as the effect of band offset at the junction between the perovskite and the electron and hole transport layer. The analysis shows that the band offset at the perovskite-electron transport layer interface plays the dominant role in the performance of the homojunction perovskite solar cell. The doping concentration on the two sides adds an extra degree of freedom in tailoring the band alignment and improving efficiency. The study can provide a better insight into designing a highly efficient homojunction perovskite solar cell with a proper choice of doping concentration and electron/hole transport layer.

Nabarun Saha, Giuseppe Brunetti, Caterina Ciminelli
Lossy Mode Resonance Sensors Based on Unconventional Optical Fiber with High Refractive Index Cladding

The fabrication and characterization of a fiber optic sensor based on the phenomenon of lossy mode resonance (LMR) is shown in this work. This kind of sensors typically involve the presence of an optical fiber, e.g., cladding removed multi-mode, tapered or polished, along with the deposition of nanosized material with high refractive index (HRI) and small absorption losses. Here we demonstrate that such phenomena can be induced without the use of an external coating onto the fiber if a specialty optical fiber is used instead. Such fiber has a cladding with refractive index higher than core (high index cladding, HIC), permitting the generation of lossy modes. As the number, mode order and wavelength of LMR attenuation bands is generally dependent on the thickness of HRI layer, here the phenomenon is tuned by modifying the thickness of the HIC fiber through chemical etching. The device exhibits simplicity and cheapness, moreover a maximum surrounding refractive index (SRI) sensitivity of 1700 nm/RIU around water refractive index is achieved, making it suitable for biological and chemical sensing applications.

Flavio Esposito, Sukanya Choudhary, Stefania Campopiano, Agostino Iadicicco
Mechanically Induced Long Period Gratings (LPGs) in Standard and Unconventional Optical Fibers

This paper presents mechanically induced long-period gratings (MILPGs) realized in standard and unconventional optical fibers, like not-photosensitive double-clad and photonic crystal fibers. The periodic modulation of the geometry and refractive index along the length of the optical fibers is induced by pressure applied transversely to properly grooved plates and leads to light coupling among the core mode and forward propagating cladding modes at specific resonance wavelengths. The proposed method employs stereolithography (SLA) 3D printing technique to realize the periodic grooved plate with an interdigitated almost sinusoidal shape. The results have significant implications for silica optical fibers that possess different geometrical and optical properties, including: (i) the standard single mode fiber (SMF-28); (ii) double cladding fibers such as (a) Thorlabs DCF-13, and (b) Nufern S-1310; and (iii) Photonic crystal fiber. The obtained devices present high quality spectral features, i.e., trivial power losses, deep and narrow attenuation bands and are achieved with a cost-effective and simple technique. Such devices are valuable candidates for different applications ranging from sensing to optical communications.

Sidrish Zahra, Elena De Vita, Flavio Esposito, Agostino Iadicicco, Stefania Campopiano

Power Electronics

Frontmatter
Extremum Seeking Control for the Efficiency Optimization of a Multi-stage Converter

The article introduces an extremum seeking control (ESC) technique for determining the optimal operating points of a multi-stage converter named twin-bus buck converter (TBB) [8]. The TBB converter features several modulation parameters, such as the switching frequencies of the CLLC stage and of the TBB post-regulator, which have a notable impact on the overall conversion efficiency. The benefit of off-line optimization techniques is limited due to the difficulties in modeling the converter behavior and the dependence on the actual operating point. Then, a model-free online search method based on the ESC technique is investigated and applied herein to find the optimal switching frequency of the TBB converter stage. The originality of this work lies in the utilization of small frequency perturbations that generate minimal effects on the objective variable, allowing for system optimization. The effectiveness of the proposed search technique is verified through experimental validation using a prototype rated 10 kW.

Nicola Zanatta, Tommaso Caldognetto, Davide Biadene, Paolo Mattavelli
Short-Circuit Operation of a 650-V/60-A GaN-Based Half-Bridge

The Short-Circuit (SC) robustness of GaN HEMTs represents a relevant issue for their use in power electronics. The research only focused on type I SC behavior of the single GaN transistor, in addition using large gate resistors during experimental tests. Here, we investigate the real case of a GaN-based Half-Bridge operating in SC conditions, focusing on the impact that another identical device can have on the SC. After designing and realizing a prototype test board with two 650-V/60-A GaN HEMTs, experimental SC tests confirm the theoretical analysis and highlight the effects of the DC-link voltage, demonstrating that both the presence of a second device and the use of large gate resistor can strongly alter the expected SC behavior.

Simone Palazzo, Annunziata Sanseverino, Francesco Velardi, Giovanni Busatto
GaN HEMT with p-Type Schottky Gate: A Case Study of TCAD Modeling of the Gate Leakage Current

A normally-off p-GaN gate HEMT for power applications is studied by technology computer-aided design (TCAD) simulations with the aim of showing the key contributions to the gate current. The specific role of physical models, already identified in the literature, are investigated along with the 1D and 2D geometry of the gate region in order to fully understand their correlated effects on device operation. Simple 1D simulations have been carried out to highlight the contributions of band-to-band electron barrier tunneling at the Schottky junction and trap-assisted tunneling through the AlGaN barrier over a fully extended positive gate-bias range. The latter models have been included in 2D simulations accounting for the realistic geometry of the p-GaN edges and the rounding of gate-metal corners. The tunneling at the metal-GaN interface has been monitored by splitting the gate contact into planar and corner portions in the TCAD deck. From the obtained results, a clear and significant edge-current contribution was found, highlighting the relevant role played by the 2D geometry.

Franco Ercolano, Andrea Natale Tallarico, Maurizio Millesimo, Elena Gnani, Susanna Reggiani, Claudio Fiegna, Matteo Borga, Niels Posthuma, Benoit Bakeroot
Calorimetric Comparison of Power Inductors for Automotive Applications

This research work presents an ad-hoc calorimetric measurement system for the power loss comparison of inductors. The inductors are used for corona ignition systems in automotive applications. For the ignition, resonance conditions have to be achieved between the inductors and spark plugs. Therefore, the working frequency and inductance values have to be determined, as well as core material, sizes, and conductor type. In this work, thermal Finite Element Method (FEM) simulations have been used to define the characteristics of the system, to obtain good sensitivity without overheating the prototypes. After trying some solutions, the one suitable for our purpose was identified, i.e., the solution that provides sufficiently accurate measurements with enough resolution to find the inductor that dissipates less among a set of different samples which resulted in being equivalent during the electromagnetic design.

Danilo Santoro, Davide Spaggiari, Simone Daniele, Paolo Cova, Nicola Delmonte
High-Voltage Source for SiPM Biasing with Enhanced Noise Performances

This work introduces an improved high-voltage source designed to enhance noise performance when biasing Silicon Photomultipliers (SiPMs). The system is based on a flyback regulator that adopts multiple noise-reduction methods to suppress the switching spikes generated from the DC-DC converter as an alternative to the Cockroft-Walton multiplier. Noise reduction methods are presented, and design choices are explained. A linear output regulation is included to dimmer the output and select the required SiPM breakdown voltage, and a Digital-to-Analog converter is used as a programmable reference. The proposed voltage source has been tested up to 200 V and 2 mA current load, reaching a peak-to-peak AC noise lower than 16 mV and a total RMS noise value lower than 5 mV. Performances are compared with a commercial source meter, used in several experiments as bias voltage source, showing better results.

Davide Colaiuda, Alfiero Leoni, Sosuke Horikawa, Romina Paolucci, Kaori Kondo, Giuseppe Ferri, Vincenzo Stornelli
Performances Evaluation of Electric Vehicles Recharging Systems Implementing Silicon Carbide and Gallium Nitride Switches

The recent improvement in semiconductor devices has led to higher efficiency and power density. SiC and GaN offer higher switching frequencies and lower losses; however, the knowledge of the behavior of these devices is not mature. In this paper, a system for fast charging of batteries for electric vehicles based on an isolated DC-DC converter equipped with both SiC and GaN devices is presented, and an experimental comparison among these two technologies will be given in terms of dynamic performances, electromagnetic compatibility, stability, efficiency.

Alessandro Busacca, Michele Calabretta, Pasquale Cusumano, Giovanni Garraffa, Angelo Alberto Messina, Daniele Scirè, Antonino Sferlazza, Gianpaolo Vitale

Electronic Systems and Applications

Frontmatter
Phased Arrays and BeamForming for MIMO and GNSS Applications

Phased arrays are complex systems composed of multiple radiating elements that can be directed and changed electronically using beamforming algorithms. They have numerous applications in areas such as radar systems, navigation, wireless communications, and medicine, and are gaining more interest because of their versatility. One of the major difficulties of these systems is the complex management of calibration and synchronization of the radiating elements. We provide an introduction to these systems by analyzing the causes that generate channel time delay. The main resolution methods will be discussed, followed by an application example of beamforming to remove a jammer in a real GNSS signal. We also show how an uncalibrated system fails to function properly, unlike a calibrated one.

S. Acciarito, L. Canese, G. C. Cardarilli, L. Di Nunzio, R. Fazzolari, R. La Cesa, M. Re, S. Spanò
Approximate Foveated-Based Super Resolution Method for Headset Displays

Wearable devices have become increasingly popular in the last years. Among them, mixed-reality headset displays (HSDs) represent the most critical device for several application fields. Indeed, they must provide users with immersive experiences of the real world through displays with high-resolution and high refresh rate and must be comfortable to be worn. Meeting such requirements is not trivial and custom energy efficient architectures for the video processing pipeline are needed. In this work, we evaluate the performance of an approximate foveated-based Super-Resolution method for image upscaling. Preliminary results show that the proposed approach allows significantly reducing the number of operations with respect to the prior art, without introducing detrimental effects on the perceptual visual quality.

Fanny Spagnolo, Pasquale Corsonello, Fabio Frustaci, Stefania Perri
Static Analysis of Current Limited Memristors: A Novel Approach to Investigate Memristor Programming Techniques

We discuss a novel investigation approach to study the current-limited memristors dynamics for programming purposes. In detail, referring to the case of the Stanford memristor model, we propose to analyze its programming dynamics adopting a nonlinear static analysis point of view, considering different current limiting circuit topologies.

Tommaso Addabbo, Ada Fort, Riccardo Moretti, Valerio Vignoli
Building a Pipeline for Efficient Production of Synthetic Datasets for Improving RL in Automated Driving

Online deep reinforcement learning training poses challenges due to its length and instability, despite the development of learning algorithms targeted to overcome these issues. Offline learning has emerged as a potential solution, but it reintroduces the issue of dataset production, which is resource-consuming and challenging even in simulation environments. This paper investigates efficient dataset creation for offline learning in the context of automated driving. Our proposed solution is a pipeline based on the CARLA simulator, which offers a wide variety in terms of car models, weather conditions, and environments. The pipeline aims to produce high-quality datasets for pre-training, training, and fine-tuning models, targeting improved training speed and reduced divergence. By leveraging CARLA’s level of realism, we address the resource-intensive nature of dataset production, providing researchers and car manufacturers with a valuable tool for advancing the development of robust automated driving systems.

Luca Lazzaroni, Alessandro Pighetti, Francesco Bellotti, Riccardo Berta
Arduino Nano-Based System for Tennis Shot Classification

Wearable technology has gained significant attention in research and commercial applications, including sports, where data collection and analysis play a crucial role in improving skills. This study focuses on tennis and the real-time classification of main shots, such as forehand, backhand, and serve. While previous studies have utilized machine learning methods for classification, they often relied on cloud or desktop processing. This paper proposes a novel neural architecture for real-time shot classification using an embedded device directly attached to a tennis racket, specifically the Arduino Nano 33 BLE Sense. The system processes six-axis time-series data collected from the IMU sensor, and the goal is to develop a lightweight model that can operate within the computational and memory limitations of edge devices. A 1-D convolutional neural network (CNN) is proposed for shot classification, which can effectively process 1-D time series. The experimental results demonstrate the successful classification of forehand, backhand, and serve shots using the trained model. This work highlights the potential of time series analysis in sports activities and emphasizes the importance of leveraging low-power embedded devices for efficient real-time analysis in the field.

Ali Dabbous, Matteo Fresta, Francesco Bellotti, Riccardo Berta
Homogeneous Tightly-Coupled Dual Core Lock-Step with No Checkpointing Redundancy

Dual-core lock-step techniques have emerged as an effective approach to enhance the fault tolerance (FT) capabilities of many safety-critical and mission-critical systems [3, 5], since the probability of faults in digital electronic devices has increased with technology scaling, voltage margin reduction and statistical process variations [6]. While lock-step techniques offer significant advantages, they also come with certain drawbacks related to the implementation of checkpoints and restore methodologies required to save the last correct state of the core and restore it in case of mismatches in the logic due to faults [4]. This paper shows a way of overcoming the disadvantages related to checkpointing and restore methodologies, applying an interleaved execution paradigm inside a dual-core lock-step architecture with a shared redundant Register file, earning in execution time and area overhead.

Marcello Barbirotta, Francesco Menichelli, Antonio Mastrandrea, Abdallah Cheikh, Saeid Jamili, Marco Angioli, Mauro Olivieri
Design Space Exploration and Validation of Electrical and Electronic System Architectures for Off-Road Vehicles and GSR Requirements

The design of the electrical and electronic architecture for special off-road vehicles (ORVs) is a complex task which requires the evaluation of different topologies and network technologies. The 2024 certification requirements defined by the European General Safety Regulation (GSR) make the task even more challenging due to the need of integrating multiple data-rate sensors in the existing vehicle architectures. In this paper, we propose a combined optimization and simulation infrastructure to explore efficiently the design space and evaluate the performance trade-offs of the best architectures.

Cristian Bianchi, Rosario Merlino, Roberto Passerone
Dataset Distillation Technique Enabling ML On-board Training: Preliminary Results

Embedded systems with reduced computational capabilities suffer from the difficulties to re-train models on-board due to computational time and energy consumption strict constraints. Nevertheless, local training is of paramount importance to keep data local and private, avoid model drifts and to enable customization of models and federated learning. In this context, reducing the data size to be saved locally provide a twofold contribution: it limits the request in terms of memory and provide a much more compact representation for further train of the model, speeding up the whole process. In this work, we present the preliminary results of a dataset distillation technique that provides a data reduction up to 99%, enabling a local re-training of the ML model in a few numbers of epochs. It paves the way to the implementation of simplified algorithms of re-training on-board for microcontroller-based IoT devices, with an acceptable overall loss of accuracy.

Mohamed Riad Sebti, Andrea Accettola, Riccardo Carotenuto, Massimo Merenda
A Microcontroller-Based Portable Transcutaneous Electrical Nerve Stimulator via Ultra-comfortable Tattoo Electrodes for Haptic Feedback

The non-invasive electrical stimulation of nerves requires neural stimulators with high voltage compliance to pass current through the skin and reach the targeted nerves. This study proposes a low-cost, microcontroller-based, wearable, high-voltage compliant current stimulator with four independent channels based on Components-Off-The-Shelf (COTS). The proposed system implements a voltage-current converter capable of generating custom stimulating waveforms with microseconds temporal resolution. The ±90 V voltage compliance enables the system to adapt to the possible variations in electrode-skin impedance during a daily life activity, allowing it to stimulate with currents up to 9 mA. The system was preliminary tested on humans using ultra-conformable Parylene-C based tattoo electrodes, showing the possibility of stimulating median and ulnar nerves and evoking sensation on the hand through more-than-wearable and completely unobtrusive technology.

Riccardo Collu, Antonello Mascia, Roberto Paolini, Francesca Cordella, Loredana Zollo, Piero Cosseddu, Massimo Barbaro
Measurements of Exhaled CO2 Through a Novel Telemedicine Tool

This paper proposes a telemedicine tool (complete of hardware, software and 3D printed face mask) for analyzing exhaled carbon dioxide (CO2) levels. Our interest in CO2 pattern is due to its correlation to psychological and physiological health status. To achieve this information, we developed a customized printed circuit board equipped with a CO2 sensor for breath analysis, a microcontroller, a WiFi module for data transmission, and a battery for enabling an autonomous device. We also developed an interactive web page for the users and a database for daily remote data management. The device was tested on two healthy subjects of different ages and with different lifestyles. The results highlighted that the younger subject presents increased CO2 values as a direct link to a more active life. We consider these promising results for testing our device on a major cohort of observational studies.

Silvia Casalinuovo, Alessio Buzzin, Marcello Barbirotta, Antonio Mastrandrea, Donatella Puglisi, Giampiero de Cesare, Domenico Caputo
Design and Manufacture of 3D-Printed Circuit Boards

In recent years, 3D printing technology was used in electronics mostly for the manufacture of mechanical or packaging parts. In particular, the Fused Deposition Modelling (FDM) category, has seen an ever-increasing variety of available materials including electrically conductive filaments. In this work we present several FDM 3D-Printed Circuit Boards (3D-PCBs) and characterize them in several manufacturing conditions. The circuits in question are a set of second order active and passive filters, printed and tested with discrete and integrated components: their characteristics, along with their ideal models, are finally compared. The results of these comparisons show a deviation of the cutoff frequency between 50% and 60%, as well as a non-negligible gain difference for the active filters. 3D-PCBs show a general decrease in performance with respect to ideal models because of several manufacturing non-idealities, such as the estimated 20% printing tolerance and the effect of parasitic components within each circuit. These characteristics open to several developments for further work in terms of printing method, materials for conductive traces, and compensation architectures.

G. Barile, P. Esposito, A. Possemato, V. Stornelli, G. Ferri
Ad-Hoc Algorithm for Direct Data Processing on Board Each Single Node in the Structural Health Monitoring

In this work, the test of a new algorithm for the individuation of the modal frequency of a structure is presented. Its novelty is in the fact that the processing of the data, detected by the accelerometers, takes place directly on board of the single node. The test has been conducted on a metal bar whose modal frequencies had already been determined and taken as a reference. Then, the bar frequencies have been calculated using a new algorithm here presented. The result is the substantial correspondence between the reference frequencies and those calculated. Subsequently, the algorithm has been applied to a real structure.

Romina Paolucci, Alfiero Leoni, Leonardo Fazzini, Rocco Alaggio, Riccardo Cirella, Vincenzo Stornelli, Giuseppe Ferri
Computational Storage for 3D NAND Flash Error Recovery Flow Prediction

The Computational Storage paradigm is attracting increasing interest in many applications because of the performance and the energy-efficiency improvement, given by the tight coupling of processing elements with Solid State Drives through proper interconnection fabrics. In this work, we study a computational storage architecture aimed to boost the inference step of an Artificial Neural Network designed to predict the Error Recovery Flow outcome from the 3D NAND Flash memories characterization data. The application has been implemented on the Xilinx Alveo U250 Data center accelerator using a 15 bits fixed point precision, proving a 98.6% prediction accuracy, a performance boost up to 53.5 $$\times $$ × , and two orders of magnitude energy consumption reduction with respect to a CPU-only implementation.

Cristian Zambelli, Andrea Miola, Enrico Calore, Rino Micheloni, Sebastiano Fabio Schifano
Grapho-Phonological Signals-Based Machine Learning Models Development for the Depressive Disorder

Mental disorders, encompassing conditions like depression, pose a significant global health challenge. Traditional diagnostic methods, while valuable, have inherent limitations, necessitating the exploration of innovative approaches. In this study, we delved into the analysis of grapho-phonological data as potential objective indicators of depression. Our research journey began with an analysis of an existing database, containing handwriting and drawing data from both healthy individuals and those diagnosed with depressive disorders. Leveraging this data, we developed machine learning models designed to distinguish between these two groups. Notably, we observed that models utilizing drawing-related features outperformed those relying on writing features. This section of our study highlights the potential of combining these data for enhanced depression detection. The creation of a new database is a noteworthy addition, providing grapho-phonological data (i.e., handwriting, drawing and laughter data) from healthy and depressed subjects. The analysis of these data sets reached peak performance, achieving superior accuracy values in comprehensive classification models, underscoring the potential of this multi-modal approaches. The study also presents a streamlined, non-invasive protocol that allows to efficiently gather essential grapho-phonological data, taking only approximately 7 min per participant. Moreover, our work introduces the “Voice & Drawing App”, an accessible tool enabling remote data collection for mental health assessment. This innovation aligns with telemonitoring trends and offers a user-friendly solution, whether within clinical settings or patients’ homes. An accelerometer-based inertial measurement units system (IMUs) to capture motion patterns has been introduced into the app. This choice is driven by the recognition of the valuable information encoded in psychomotor behaviour, that can serve as indicators of depression. Our study highlights promising avenues for future research, including expanding subject populations, implementing automatic laughter recognition, and incorporating video data to capture facial expressions. Wearable devices with IMUs offer exciting opportunities for comprehensive depression assessment. In summary, our research seeks to improve our understanding of depression by exploring innovative approaches that incorporate graphological signals, laughter, and IMUs. These multidimensional strategies aim to enhance the accuracy of depression detection and telemonitoring, ultimately facilitating more timely and effective interventions in mental health care.

Francesca Laganaro, Marianna Mazza, Giuseppe Marano, Emanuele Piuzzi, Antonio Pallotti
IoT Battery-Less System for Plant Health Monitoring

In this work, we introduce an innovative battery-less Internet of Things (IoT) device designed specifically for the purpose of monitoring plant health. This system operates by gathering energy directly from the soil where the plant is rooted, employing a combination of the electrode potential principle and a cutting-edge maximum power point tracking algorithm, optimizing energy harvesting and ensuring that the system operates at peak efficiency. The harvested energy is efficiently stored within a supercapacitor, which subsequently serves as the primary power source for a highly energy-efficient System on a Chip (SoC). This SoC, in turn, is responsible for collecting and transmitting data related to light conditions and soil humidity. The data is transmitted via Bluetooth Low-Energy (BLE) technology, making it accessible for remote monitoring and analysis. Initial results from our research showcase the remarkable feasibility of the proposed system. We have successfully demonstrated its capability to extract energy from the environment, as evidenced by a fully functional prototype that relies solely on energy harvested through this method. A 330 µF capacitor has been charged in less than 3 min for the initial power-on of the whole system and less than 20 s after the initial startup, providing a 3 mA current to a standard LED for about 300 ms.

Alfiero Leoni, Romina Paolucci, Davide Colaiuda, Vincenzo Stornelli, Giuseppe Ferri
Backmatter
Metadaten
Titel
Proceedings of SIE 2023
herausgegeben von
Carmine Ciofi
Ernesto Limiti
Copyright-Jahr
2024
Electronic ISBN
978-3-031-48711-8
Print ISBN
978-3-031-48710-1
DOI
https://doi.org/10.1007/978-3-031-48711-8

Neuer Inhalt