Skip to main content

2019 | Buch

Proceedings of the 4th International Conference on the Industry 4.0 Model for Advanced Manufacturing

AMP 2019

herausgegeben von: Prof. Laszlo Monostori, Prof. Vidosav D. Majstorovic, Prof. S. Jack Hu, Prof. Dragan Djurdjanovic

Verlag: Springer International Publishing

Buchreihe : Lecture Notes in Mechanical Engineering

insite
SUCHEN

Über dieses Buch

This book gathers the proceedings of the 4th International Conference on the Industry 4.0 Model for Advanced Manufacturing (AMP 2019), held in Belgrade, Serbia, on 3–6 June 2019. The event marks the latest in a series of high-level conferences that bring together experts from academia and industry to exchange knowledge, ideas, experiences, research findings, and information in the field of manufacturing. The book addresses a wide range of topics, including: design of smart and intelligent products, developments in CAD/CAM technologies, rapid prototyping and reverse engineering, multistage manufacturing processes, manufacturing automation in the Industry 4.0 model, cloud-based products, and cyber-physical and reconfigurable manufacturing systems. By providing updates on key issues and highlighting recent advances in manufacturing engineering and technologies, the book supports the transfer of vital knowledge to the next generation of academics and practitioners. Further, it will appeal to anyone working or conducting research in this rapidly evolving field.

Inhaltsverzeichnis

Frontmatter
Nano - Precision Systems for Overlay in Advanced Lithography Processes
Abstract
Improvement in lithographic overlay has been a key enabler of Moore’s law. Overlay control has improved from above 300 nm (3σ) in early lithographic systems, to close to 2 nm (3σ) in state-of-the-art photolithography systems as well as in the emerging area of nanoimprint lithography systems. In this article, we survey the innovations which led to these incredibly precise overlay capabilities in modern patterning systems.
P. Ajay, S. V. Sreenivasan
Interoperability in Coordinate Metrology
Abstract
Coordinate metrology is an essential part of a product life-cycle management, since it guarantees the quality of component used in industrial processes. Nevertheless, nowadays industry environment is complex and full of players, which are correlated or even dependent one to another. Manufacturers use hardware and software to support their industrial process; these assets are provided by different companies, specialized in each specific segment, so there is the need to manage the communication between them. Usually, Coordinate Measurement Machines (CMM), together with their related metrology software and CAD/CAM/CAE/CAIP (Computer-Aided Design, Computer-Aided Manufacturing, Computer-Aided Engineering, Computer-Aided Inspection Planning) software, are developed by different companies. Moreover, the urge to integrate data into a Product Life-cycle Management (PLM) system is increasing; it allows to have a comprehensive control on the product, improve performances and develop strategies. Starting from these necessities, interoperability becomes a topic of interest and important point of arrival in manufacturing; specifically, the focus of this paper is on interoperability Issues in coordinate metrology.
Chiara Galbiati, Silvia Gava, Giovanni Moroni, Stefano Petrò
The Paradigm of Pit - Stop Manufacturing
Abstract
The context in which manufacturing companies are operating is more and more dynamic. Technological and digital innovations are continuously pushing manufacturing systems to change and adapt to new conditions. Therefore, traditional planning strategies tend to be inadequate because both the context and short - term targets are continuously changing. Indeed, one of the goals of manufacturing companies is to keep manufacturing systems efficiently running, and reduce and control the impact of disruptive events, that may originate from different sources, not always known or well defined. In order to do so, manufacturing systems should be kept relatively close to the current optimal condition, while, at the same time, taking into account information about future possible events, which may require new optimal conditions. In fact, the reaction time to the change must be short, in order to remain competitive in the market. In addition companies to be competitive should lead the introduction of changes therefore they have to be both reactive and proactive. From this analysis, the new paradigm of ‘pit - stop manufacturing’ is introduced, in which the overall goal is to dynamically keep the manufacturing system close to an improvement trajectory, instead of statically optimizing the system. It is shown how the ‘pit - stop manufacturing’ deals with various aspects of current manufacturing systems, therefore providing novel research questions and challenges.
Tullio A. M. Tolio, Maria Chiara Magnanini
Design of the Codes Structure for Information System Working on I4.0 Principles
Abstract
The paper deals with a design of codes structure for Information system working on principles of Industry 4.0. There are also describe the base aspects of information system related to the requirements for computer aided process plans design in the manuscript. The higher efficiency of processing big amount of data requires to make the code inside of a software application clear and therefore as simple as possible. On the other hand, it has to include all the information about the subject that is being coded. Within the proposed information system as such coded objects can be not only the manufactured components, but also the manufacturing facilities and operations needed to process the product (manufacturing operations, transport, handling, …). In the article is explained a proposed method of coding of individual types of objects along with a demonstration of code structure that is well-processable and identifiable with computer support.
Peter Monka, Katarina Monkova
A Critical Evaluation of Current Machine Tool Designs in the Digitalized Global Production Networks
Abstract
Machine Tools are mother machines. They are necessary for the creation of any product. There is a correlation between machine tool capabilities and industrial achievements. The history shows that new innovative designs of machine tools led to remarkable technical as well as monetary results. Digitalization is changing our daily life in all aspects. A new “On demand economy” is coming up. No more an economy based on fixed plans is essential but an economy which is reactive to changes in daily life on time. Consequently also new production systems and machines are necessary for making it possible to adapt to new demands. This new era requires as well changes in machine tool design for taking benefit of the possibilities forwarded by digitalization. A paradigm change is necessary. In this paper a systematic review of machine tool developments will be given and requirements on up to date machine tools will be worked out. Finally, some examples for potential future design ideas will be presented.
Ömer Sahin Ganiyusufoglu
Statistical Study of Parameters in the Process of Orthogonal Cutting Surface Hardness
Abstract
This paper is a development of the study of hardness of workpiece surface layer, case of C45 steel orthogonal cutting, as function of cutting regime parameters and forces and geometry of the cutting tool [1]. The size of the experimental data imposed an extended use of computer assisted statistical applications. The influence of cutting parameters and radius of the cutting tool on hartness was modeled with all variables and their interactions, seven factors, based on a multivariate regression function. The model with three factors was a sinthetic application for this dependence and we established that the mainly influence on hardness is due to the factor radius of tool, which explained reliability. The dependence of hardness from cutting forces, modeled with a bivariate copula, proved a strong dependence of the variable HV.
Adrian Stere Paris, Constantin Tarcolea, Sorin-Mihai Croitoru, Vidosav D. Majstorović
Industry 4.0 Programs Worldwide
Abstract
Since 2011, when Industry 4.0 has entered the scene, national programs for its development and application in national industries have been launched around the world. In the meantime, this Program has begun to develop in different countries, until now thirty seven worldwide. Industry 4.0 is Program initiated by German Government and industry as a new model of automatization of manufacturing technologies. Cyber-Physical System (CPS) is the key element of Industry 4.0. In this paper, a detailed analysis of the current level of development of the Industry 4.0 program has been made in globe. Also, overview of the Industry 4.0 program in Serbia is given as well.
Vidosav D. Majstorovic, Radivoje Mitrovic
Illuminating an Economy of the Future: How to Win the Transition to Industry 4.0 with New Economic Rules
Abstract
The beginning of the fourth industrial revolution was marked with inconsistency between the anatomy of a rapidly changing environment and the way of its functioning. Combinatorial innovations connecting technologies, businesses, industries, and people have pushed the new economy, sometimes called Industry 4.0, into great discontinuity. Double amalgams based on synthesis of innovations from virtual and physical (and/or biological) world as well as products and services have disruptive impact on incumbents. Paradoxically, a quantum leap in technological opportunities is not matched with increase of macroeconomic performance. The reason for that is a legacy of combined crisis (the Great Recession 2008 plus digital disruption) emerged in the period before the start of the fourth industrial revolution. Advance manufacturing is a primer of negative impact of combinatorial innovations on incumbents. Advanced manufacturing is a direct consequence of universal mobility as a new free good and their impact on the value chain. This fact is important because production based on advanced manufacturing is the place where the fourth industrial revolution is happening before spreading out on other stages of the value chain, both downstream and upstream and throughout the whole economy and society as well. We cannot expect greater impact of combinatorial innovations on economic growth within existing economic framework. To achieve managed change, or smooth transition toward the Industry 4.0, the economic system needs new rules. Equally, the new economic model of growth and economic policy platform (macroeconomics) as well as strategy and business model of industry leaders (microeconomics) are being reshaped in accordance with new economic rules. In writing this article, we have been guided by two intentions. First, to map the direction of change as it happens. Second, to provide from a microeconomics (or business economics) perspective a fresh and far reaching insight into new economic rules for macro and micro management, desirable for winning smooth and well managed transition to Industry 4.0.
Dragan Đuričin, Iva Vuksanović Herceg
Competitiveness of Domestic Enterprises in Changing Markets and Industry 4.0
Abstract
Globalization inevitably leads to changes on the market. Enterprises have to apply flexible and innovative approaches to management in order to develop sustained competitiveness. Technological advances in a global context create a dynamic economic environment where competition on the market is fierce and constant. Taking into consideration the fourth industrial evolution – Industry 4.0, enterprises have to quickly adapt to changes on the market and they also have to apply technological innovation in order to increase their own competitive ability. When it comes to domestic enterprises in the Republic of Serbia, the overall global competitiveness is quite low. Some of the main reasons behind this is lack of adequate investments in innovative activities. Additionally, there is lack of adequate investment in product and service quality, and low productivity. Now, how is competitiveness achievable? Through the application of modern management techniques and methods, and through investments in innovation, domestic enterprises can achieve higher levels of competitiveness of the global market.
Dragan Ćoćkalo, Dejan Đorđević, Srđan Bogetić, Mihalj Bakator, Cariša Bešić
Urban Manufacturing of Sustainable Customer-Oriented Products
Abstract
Personalization and sustainability are becoming driving forces to achieve a leading role in a fast-changing market. Therefore, manufacturers need to become more agile and flexible, introduce personalized products and customer-oriented services, measure and capitalize environmental intangibles. To answer to those requirements, this paper addresses the key elements characterizing the urban manufacturing concept, thought as an innovative production scenario leveraging closeness to customer, customization and sustainability. Such a framework is based on innovative technologies enabling collaborative short value chains and responsive production in constrained environments, for customer-oriented products and services. The main aspects, discussed in this paper, defining the proposed production paradigm are: (1) Product customization and digitalization; (2) Sustainability; (3) Flexible and short supply chain; (4) Responsive production systems; (5) Design to manufacturing in one step; (6) Industrial symbiosis; (7) open innovation. Two application cases in the furniture and footwear sectors are discussed, highlighting experienced benefits, open challenges and future research directions.
Andrea Barni, Emanuele Carpanzano, Giuseppe Landolfi, Paolo Pedrazzoli
Zero Defect Manufacturing Strategies and Platform for Smart Factories of Industry 4.0
Abstract
Within the context of market globalisation, the quality of products has become a key factor for success in manufacturing industry. The growing unpredictability of demand necessitates continuous adjustments in production targets. Addressing customer needs and customer satisfaction are the most important factors for successful businesses. Being consistent in meeting their needs, the existing manufacturing systems have to be adaptable while maximising the quality of their products. Guided by this challenge, in this paper we provide a holistic framework and ad-hoc strategies applicable both to new and existing manufacturing lines to achieve zero-defects in manufacturing via a novel ZDM platform that integrates state of the art ICT technologies, AI models and inspection tools which elevate manufacturing plants to a superior level of competitiveness and sustainability. The proposed approach and results in this article are based on the development and implementation in a large collaborative EU-funded H2020 research project entitled Z - Fact0r, i.e. Zero-defect manufacturing strategies towards on-line production management for European factories.
Gökan May, Dimitris Kiritsis
Additive Manufacturing: New Trends in the 4th Industrial Revolution
Abstract
Among the enabling technologies of the fourth industrial revolution, additive manufacturing (AM) is considered as a key factor for the success of the new production paradigm.
In this paper, the role of the AM technologies in the new scenery will be pointed out, focusing the attention on those factors enacting its success and its widespread diffusion among the most important companies of the main industrial sectors. These factors are mainly attributable to new materials of every kind, from polymers to metals passing from the composites, as well as, new processes, which open the possibility to reach new markets. The most relevant innovations will be reported, especially those related to the industrial implementation of AM. The issues related to the metrology of the additive manufacturing products and the sustainability of these manufacturing processes will be also described highlighting the main criticalities.
Luigi M. Galantucci, Maria Grazia Guerra, Michele Dassisti, Fulvio Lavecchia
A Hidden Markov Model Based Approach to Modeling and Monitoring of Processes with Imperfect Maintenance
Abstract
Maintenance interventions are usually imperfect. In this paper, we propose a novel degradation model that addresses the uncertainty in maintenance effectiveness. The new model assumes system’s degradation level at the end of any production run can be recovered to a random degree by the subsequent maintenance activity. Based on parametric uncertainty in the newly proposed model, a novel process monitoring method is proposed for providing condition indicator each time a new observation is retained from the monitored system. Using a large-scale semiconductor dataset, significant improvement in the log-likelihood was observed in the HMM assuming imperfect maintenance against the HMM assuming perfect maintenance. In addition, it is shown that the newly proposed monitoring method is capable of dramatically reducing false alarm ratios, compared to the conventional multivariate signature-based methods.
Deyi Zhang, Dragan Djurdjanović
Launching New Projects in Industry 4.0: Best Practices of Automotive Suppliers
Abstract
The purpose of this paper is to present the meaning and benefits of new Industry 4.0 (I4.0) projects for organizations future and competitiveness. The background was scholarly literary research, best practice analysis and interviews with operation managers. Qualitative research ran from 2017 to 2018 in two automotive supplier organizations, but information results from the experience of other organizations managers with implemented I4.0 strategy were also used, particularly in the area of project management, and quality engineering and management. At this stage of research, it is about finding a suitable conceptual framework for deciding on the implementation of a new project.
Kristina Zgodavova, Andrea Sutoova, Miroslav Cicka
The Use of Neutron Scattering in the Advancement of Additive Manufacturing
Abstract
Additive manufacturing is a transformative approach to industrial production that enables the creation of lighter, stronger parts and systems, while bringing digital flexibility and efficiency to manufacturing operations [1]. Despite the constant progress in the field, there are still a lot of challenges that must be addressed. In the case of metal components, residual stress caused by the unique thermal cycle in AM is the critical issue since the steep stress gradients can generate distortion and increased fragility, which can lead to serious deterioration of the end-use parts [2]. The present study tries to prove that neutron diffraction is one of the most versatile and powerful analysis tools for internal stress, as it allows the determination of the complete 3D stress tensor on real sized components, even in-situ or in-operando conditions. The stress field in an aluminum additive manufactured support is mapped out under load, by determining 3 orthogonal components.
Ioan M. Ghiţiu, Cosmin M. Jalbă, Mădălina E. Florescu, Alexandru Măgureanu
A Cloud-Based Process Planning System in Industry 4.0 Framework
Abstract
When generating and optimizing process plans nowadays, new concepts and models which consider dynamic harmonization of all participants, systems and people involved in planning stages are applied. A number of systems and environments for distributed process planning that utilize various techniques of intelligent planning and collaborative technologies have been developed in the recent period. Today, a growing number of manufacturing companies adopt the principles of smart factory, also known as the Industry 4.0 concept with the focus on the effective integration of knowledge sources with a production process. This integration uses cloud manufacturing principles whose integral part is the cloud-based process planning. This paper will present such a system that utilizes cloud technology and services for defining process plans as well as expert heuristic knowledge for optimizing process plans and selecting the best solutions.
Mijodrag Milošević, Dejan Lukić, Stevo Borojević, Aco Antić, Mića Đurđev
Quality Education and Digitalization of the Economy
Abstract
Education significantly affects the socio - economic sector of the national economy development. Currently, transformations and changes occurring in numerous spheres of human activity also imply the corresponding requirements for education quality and knowledge obtained while training specialists in various spheres of human activity. The digitalization of the economy makes both great demands and can provide great opportunities for the education system and educational organizations in respect of implementation of research competencies, intensive improvement of education quality, active strengthening of their positions and authority in the educational services markets. For effective implementation of digitalization of the economy and improving the quality of educational organizations activities in the innovation process, it is proposed to use a tool such as a three-level role-based management model - the “digital platform of an educational organization”; “digital competence centers”; “project working groups on improvement of education quality”, built on the principles of ISO 9001: 2015 [1], and allowing constructively consolidate special competences while comprehensively solving the tasks of managing an educational organization and improving quality of education.
Elena Martyakova, Elena Gorchakova
Correction to: Nano - Precision Systems for Overlay in Advanced Lithography Processes
P. Ajay, S. V. Sreenivasan
Retraction Note to: The Use of Neutron Scattering in the Advancement of Additive Manufacturing
Ioan M. Ghiţiu, Cosmin M. Jalbă, Mădălina E. Florescu, Alexandru Măgureanu
Backmatter
Metadaten
Titel
Proceedings of the 4th International Conference on the Industry 4.0 Model for Advanced Manufacturing
herausgegeben von
Prof. Laszlo Monostori
Prof. Vidosav D. Majstorovic
Prof. S. Jack Hu
Prof. Dragan Djurdjanovic
Copyright-Jahr
2019
Electronic ISBN
978-3-030-18180-2
Print ISBN
978-3-030-18179-6
DOI
https://doi.org/10.1007/978-3-030-18180-2

    Marktübersichten

    Die im Laufe eines Jahres in der „adhäsion“ veröffentlichten Marktübersichten helfen Anwendern verschiedenster Branchen, sich einen gezielten Überblick über Lieferantenangebote zu verschaffen.