Skip to main content
Erschienen in: Real-Time Systems 2/2020

10.09.2019

Reduced latency DRAM for multi-core safety-critical real-time systems

verfasst von: Mohamed Hassan

Erschienen in: Real-Time Systems | Ausgabe 2/2020

Einloggen

Aktivieren Sie unsere intelligente Suche, um passende Fachinhalte oder Patente zu finden.

search-config
loading …

Abstract

Predictable execution time upon accessing shared memories in multi-core real-time systems is a stringent requirement. A plethora of existing works focus on the analysis of Double Data Rate Dynamic Random Access Memories (DDR DRAMs), or redesigning its memory to provide predictable memory behavior. In this paper, we show that DDR DRAMs by construction suffer inherent limitations associated with achieving such predictability. These limitations lead to (1) highly variable access latencies that fluctuate based on various factors such as access patterns and memory state from previous accesses, and (2) overly pessimistic latency bounds. As a result, DDR DRAMs can be ill-suited for some real-time systems that mandate a strict predictable performance with tight timing constraints. Targeting these systems, we promote an alternative off-chip memory solution that is based on the emerging Reduced Latency DRAM (RLDRAM) protocol, and propose a predictable memory controller (RLDC) managing accesses to this memory. Comparing with the state-of-the-art predictable DDR controllers, the proposed solution provides up to \(\mathbf{11 }\times \) less timing variability and \(\mathbf{6.4 }\times \) reduction in the worst case memory latency.

Sie haben noch keine Lizenz? Dann Informieren Sie sich jetzt über unsere Produkte:

Springer Professional "Wirtschaft+Technik"

Online-Abonnement

Mit Springer Professional "Wirtschaft+Technik" erhalten Sie Zugriff auf:

  • über 102.000 Bücher
  • über 537 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Finance + Banking
  • Management + Führung
  • Marketing + Vertrieb
  • Maschinenbau + Werkstoffe
  • Versicherung + Risiko

Jetzt Wissensvorsprung sichern!

Springer Professional "Technik"

Online-Abonnement

Mit Springer Professional "Technik" erhalten Sie Zugriff auf:

  • über 67.000 Bücher
  • über 390 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Maschinenbau + Werkstoffe




 

Jetzt Wissensvorsprung sichern!

Springer Professional "Wirtschaft"

Online-Abonnement

Mit Springer Professional "Wirtschaft" erhalten Sie Zugriff auf:

  • über 67.000 Bücher
  • über 340 Zeitschriften

aus folgenden Fachgebieten:

  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Finance + Banking
  • Management + Führung
  • Marketing + Vertrieb
  • Versicherung + Risiko




Jetzt Wissensvorsprung sichern!

Fußnoten
1
we use the letter x since observations we make in this paper are generic for any DDR protocol (DDR2, DDR3, DDR4, etc.).
 
Literatur
Zurück zum Zitat Akesson B, Goossens K, Ringhofer M (2007) Predator: a predictable SDRAM memory controller. In: IEEE/ACM international conference on hardware/software codesign and system synthesis (CODES+ ISSS) Akesson B, Goossens K, Ringhofer M (2007) Predator: a predictable SDRAM memory controller. In: IEEE/ACM international conference on hardware/software codesign and system synthesis (CODES+ ISSS)
Zurück zum Zitat Chatterjee N, Shevgoor M, Balasubramonian R, Davis A, Fang Z, Illikkal R, Iyer R (2012) Leveraging heterogeneity in DRAM main memories to accelerate critical word access. In: IEEE/ACM international symposium on microarchitecture (MICRO) Chatterjee N, Shevgoor M, Balasubramonian R, Davis A, Fang Z, Illikkal R, Iyer R (2012) Leveraging heterogeneity in DRAM main memories to accelerate critical word access. In: IEEE/ACM international symposium on microarchitecture (MICRO)
Zurück zum Zitat Ecco L, Ernst R (2015) Improved DRAM timing bounds for real-time DRAM controllers with read/write bundling. In: Real-time systems symposium, pp 53–64 Ecco L, Ernst R (2015) Improved DRAM timing bounds for real-time DRAM controllers with read/write bundling. In: Real-time systems symposium, pp 53–64
Zurück zum Zitat Ecco L, Ernst R (2017) Tackling the bus turnaround overhead in real-time SDRAM controllers. IEEE Trans Comput 66(11):1961–1974MathSciNetCrossRef Ecco L, Ernst R (2017) Tackling the bus turnaround overhead in real-time SDRAM controllers. IEEE Trans Comput 66(11):1961–1974MathSciNetCrossRef
Zurück zum Zitat Ecco L, Tobuschat S, Saidi S, Ernst R (2014) A mixed critical memory controller using bank privatization and fixed priority scheduling. In: IEEE international conference on embedded and real-time computing systems and applications (RTCSA) Ecco L, Tobuschat S, Saidi S, Ernst R (2014) A mixed critical memory controller using bank privatization and fixed priority scheduling. In: IEEE international conference on embedded and real-time computing systems and applications (RTCSA)
Zurück zum Zitat Ecco L, Kostrzewa A, Ernst R (2016) Minimizing DRAM rank switching overhead for improved timing bounds and performance. In: Euromicro conference on real-time systems (ECRTS) Ecco L, Kostrzewa A, Ernst R (2016) Minimizing DRAM rank switching overhead for improved timing bounds and performance. In: Euromicro conference on real-time systems (ECRTS)
Zurück zum Zitat Goossens S, Akesson B, Goossens K (2013) Conservative open-page policy for mixed time-criticality memory controllers. In: Proceedings of the conference on design, automation and test in Europe. EDA Consortium, pp 525–530 Goossens S, Akesson B, Goossens K (2013) Conservative open-page policy for mixed time-criticality memory controllers. In: Proceedings of the conference on design, automation and test in Europe. EDA Consortium, pp 525–530
Zurück zum Zitat Gracioli G, Alhammad A, Mancuso R, Fröhlich AA, Pellizzoni R (2015) A survey on cache management mechanisms for real-time embedded systems. ACM Comput Surv (CSUR) 48(2):32CrossRef Gracioli G, Alhammad A, Mancuso R, Fröhlich AA, Pellizzoni R (2015) A survey on cache management mechanisms for real-time embedded systems. ACM Comput Surv (CSUR) 48(2):32CrossRef
Zurück zum Zitat Guo D, Pellizzoni R (2017) A requests bundling DRAM controller for mixed-criticality systems. In: IEEE real-time and embedded technology and applications symposium (RTAS) Guo D, Pellizzoni R (2017) A requests bundling DRAM controller for mixed-criticality systems. In: IEEE real-time and embedded technology and applications symposium (RTAS)
Zurück zum Zitat Guo D, Hassan M, Pellizzoni R, Patel H (2018) A comparative study of predictable DRAM controllers. ACM Trans Embed Comput Syst (TECS) 17(2):53 Guo D, Hassan M, Pellizzoni R, Patel H (2018) A comparative study of predictable DRAM controllers. ACM Trans Embed Comput Syst (TECS) 17(2):53
Zurück zum Zitat Hassan M (2018) On the off-chip memory latency of real-time systems: is DDR dram really the best option? In: IEEE real-time systems symposium (RTSS) Hassan M (2018) On the off-chip memory latency of real-time systems: is DDR dram really the best option? In: IEEE real-time systems symposium (RTSS)
Zurück zum Zitat Hassan M, Patel H (2017) MCXplore: automating the validation process of DRAM memory controller designs. IEEE Trans Comput Aided Des Integr Circuits Syst (TCAD) 37(5):1050–1063 Hassan M, Patel H (2017) MCXplore: automating the validation process of DRAM memory controller designs. IEEE Trans Comput Aided Des Integr Circuits Syst (TCAD) 37(5):1050–1063
Zurück zum Zitat Hassan M, Pellizzoni R (2018) Bounding DRAM interference in COTS heterogeneous MPSoCs for mixed criticality systems. In: ACM SIGBED international conference on embedded software (EMSOFT) Hassan M, Pellizzoni R (2018) Bounding DRAM interference in COTS heterogeneous MPSoCs for mixed criticality systems. In: ACM SIGBED international conference on embedded software (EMSOFT)
Zurück zum Zitat Hassan M, Patel H, Pellizzoni R (2015) A framework for scheduling DRAM memory accesses for multi-core mixed-time critical systems. In: Real-time and embedded technology and applications symposium (RTAS), pp 307–316 Hassan M, Patel H, Pellizzoni R (2015) A framework for scheduling DRAM memory accesses for multi-core mixed-time critical systems. In: Real-time and embedded technology and applications symposium (RTAS), pp 307–316
Zurück zum Zitat Hassan M, Patel H, Pellizzoni R (2016) PMC: a requirement-aware DRAM controller for multi-core mixed criticality systems. ACM Trans Embed Comput Syst (TECS) 16(4): Article 100 Hassan M, Patel H, Pellizzoni R (2016) PMC: a requirement-aware DRAM controller for multi-core mixed criticality systems. ACM Trans Embed Comput Syst (TECS) 16(4): Article 100
Zurück zum Zitat Jalle J, Quinones E, Abella J, Fossati L, Zulianello M, Cazorla FJ (2014) A dual-criticality memory controller (DCmc): proposal and evaluation of a space case study. In: IEEE real-time systems symposium (RTSS) Jalle J, Quinones E, Abella J, Fossati L, Zulianello M, Cazorla FJ (2014) A dual-criticality memory controller (DCmc): proposal and evaluation of a space case study. In: IEEE real-time systems symposium (RTSS)
Zurück zum Zitat Kim H, Lee J, Lakshminarayana NB, Sim J, Lim J, Pho T (2012) MacSim: a CPU-GPU heterogeneous simulation framework user guide. Georgia Institute of Technology, Atlanta Kim H, Lee J, Lakshminarayana NB, Sim J, Lim J, Pho T (2012) MacSim: a CPU-GPU heterogeneous simulation framework user guide. Georgia Institute of Technology, Atlanta
Zurück zum Zitat Kim H, De Niz D, Andersson B, Klein M, Mutlu O, Rajkumar R (2014) Bounding memory interference delay in COTS-based multi-core systems. In: IEEE real-time and embedded technology and applications symposium (RTAS) Kim H, De Niz D, Andersson B, Klein M, Mutlu O, Rajkumar R (2014) Bounding memory interference delay in COTS-based multi-core systems. In: IEEE real-time and embedded technology and applications symposium (RTAS)
Zurück zum Zitat Kim H, Broman D, Lee EA, Zimmer M, Shrivastava A, Oh J (2015) A predictable and command-level priority-based DRAM controller for mixed-criticality systems. In: Real-time and embedded technology and applications symposium (RTAS), pp 317–326 Kim H, Broman D, Lee EA, Zimmer M, Shrivastava A, Oh J (2015) A predictable and command-level priority-based DRAM controller for mixed-criticality systems. In: Real-time and embedded technology and applications symposium (RTAS), pp 317–326
Zurück zum Zitat Krishnapillai Y, Wu ZP, Pellizoni R (2014) ROC: a rank-switching, open-row DRAM controller for time-predictable systems. In: Euromicro conference on real-time systems (ECRTS) Krishnapillai Y, Wu ZP, Pellizoni R (2014) ROC: a rank-switching, open-row DRAM controller for time-predictable systems. In: Euromicro conference on real-time systems (ECRTS)
Zurück zum Zitat Li Y, Akesson B, Goossens K (2014) Dynamic command scheduling for real-time memory controllers. In: Euromicro conference on real-time systems (ECRTS), pp 3–14 Li Y, Akesson B, Goossens K (2014) Dynamic command scheduling for real-time memory controllers. In: Euromicro conference on real-time systems (ECRTS), pp 3–14
Zurück zum Zitat Mancuso R, Pellizzoni R, Tokcan N, Caccamo M (2017) WCET derivation under single core equivalence with explicit memory budget assignment. In: Euromicro conference on real-time systems (ECRTS) Mancuso R, Pellizzoni R, Tokcan N, Caccamo M (2017) WCET derivation under single core equivalence with explicit memory budget assignment. In: Euromicro conference on real-time systems (ECRTS)
Zurück zum Zitat Mutlu O, Subramanian L (2014) Research problems and opportunities in memory systems. Supercomputing Front Innov 1(3):19–55 Mutlu O, Subramanian L (2014) Research problems and opportunities in memory systems. Supercomputing Front Innov 1(3):19–55
Zurück zum Zitat Paolieri M, Quiñones E, Cazorla FJ, Valero M (2009) An analyzable memory controller for hard real-time CMPs. Embed Syst Lett (ESL) 1:86–90CrossRef Paolieri M, Quiñones E, Cazorla FJ, Valero M (2009) An analyzable memory controller for hard real-time CMPs. Embed Syst Lett (ESL) 1:86–90CrossRef
Zurück zum Zitat Phadke S, Narayanasamy S (2011) MLP aware heterogeneous memory system. In: IEEE design, automation & test in Europe conference & exhibition (DATE) Phadke S, Narayanasamy S (2011) MLP aware heterogeneous memory system. In: IEEE design, automation & test in Europe conference & exhibition (DATE)
Zurück zum Zitat Poovey JA, Conte TM, Levy M, Gal-On S (2009) A benchmark characterization of the EEMBC benchmark suite. IEEE Micro 29(5):18–29CrossRef Poovey JA, Conte TM, Levy M, Gal-On S (2009) A benchmark characterization of the EEMBC benchmark suite. IEEE Micro 29(5):18–29CrossRef
Zurück zum Zitat Reineke J, Liu I, Patel HD, Kim S, Lee EA (2011) PRET DRAM controller: bank privatization for predictability and temporal isolation. In: IEEE/ACM/IFIP international conference on Hardware/software codesign and system synthesis (CODES + ISSS) Reineke J, Liu I, Patel HD, Kim S, Lee EA (2011) PRET DRAM controller: bank privatization for predictability and temporal isolation. In: IEEE/ACM/IFIP international conference on Hardware/software codesign and system synthesis (CODES + ISSS)
Zurück zum Zitat Rosenfeld P, Cooper-Balis E, Jacob B (2011) DRAMSim2: a cycle accurate memory system simulator. IEEE Comput Architect Lett (CAL) 10(1):16–19CrossRef Rosenfeld P, Cooper-Balis E, Jacob B (2011) DRAMSim2: a cycle accurate memory system simulator. IEEE Comput Architect Lett (CAL) 10(1):16–19CrossRef
Zurück zum Zitat Soliman MR, Pellizzoni R (2017) WCET-driven dynamic data scratchpad management with compiler-directed prefetching. In: Euromicro conference on real-time systems (ECRTS) Soliman MR, Pellizzoni R (2017) WCET-driven dynamic data scratchpad management with compiler-directed prefetching. In: Euromicro conference on real-time systems (ECRTS)
Zurück zum Zitat Toal C, Burns D, McLaughlin K, Sezer S, O’Kane S (2007) An RLDRAM II implementation of a 10Gbps shared packet buffer for network processing. In: NASA/ESA conference on adaptive hardware and systems (AHS) Toal C, Burns D, McLaughlin K, Sezer S, O’Kane S (2007) An RLDRAM II implementation of a 10Gbps shared packet buffer for network processing. In: NASA/ESA conference on adaptive hardware and systems (AHS)
Zurück zum Zitat Valsan PK, Yun H (2015) MEDUSA: a predictable and high-performance DRAM controller for multicore based embedded systems. In: Cyber-physical systems, networks, and applications (CPSNA), pp 86–93 Valsan PK, Yun H (2015) MEDUSA: a predictable and high-performance DRAM controller for multicore based embedded systems. In: Cyber-physical systems, networks, and applications (CPSNA), pp 86–93
Zurück zum Zitat Wilhelm R, Engblom J, Ermedahl A, Holsti N, Thesing S, Whalley D, Bernat G, Ferdinand C, Heckmann R, Mitra T et al (2008) The worst-case execution-time problem-overview of methods and survey of tools. ACM Trans Embed Comput Syst (TECS) 7(3):1–53CrossRef Wilhelm R, Engblom J, Ermedahl A, Holsti N, Thesing S, Whalley D, Bernat G, Ferdinand C, Heckmann R, Mitra T et al (2008) The worst-case execution-time problem-overview of methods and survey of tools. ACM Trans Embed Comput Syst (TECS) 7(3):1–53CrossRef
Zurück zum Zitat Wu ZP, Krish Y, Pellizzoni R (2013) Worst case analysis of DRAM latency in multi-requestor systems. In: Real-time systems symposium (RTSS), pp 372–383 Wu ZP, Krish Y, Pellizzoni R (2013) Worst case analysis of DRAM latency in multi-requestor systems. In: Real-time systems symposium (RTSS), pp 372–383
Zurück zum Zitat Yun H, Yao G, Pellizzoni R, Caccamo M, Sha L (2013) Memguard: memory bandwidth reservation system for efficient performance isolation in multi-core platforms. In: IEEE real-time and embedded technology and applications symposium (RTAS) Yun H, Yao G, Pellizzoni R, Caccamo M, Sha L (2013) Memguard: memory bandwidth reservation system for efficient performance isolation in multi-core platforms. In: IEEE real-time and embedded technology and applications symposium (RTAS)
Zurück zum Zitat Yun H, Mancuso R, Wu ZP, Pellizzoni R (2014) PALLOC: DRAM bank-aware memory allocator for performance isolation on multicore platforms. In: IEEE real-time and embedded technology and applications symposium (RTAS) Yun H, Mancuso R, Wu ZP, Pellizzoni R (2014) PALLOC: DRAM bank-aware memory allocator for performance isolation on multicore platforms. In: IEEE real-time and embedded technology and applications symposium (RTAS)
Zurück zum Zitat Yun H, Pellizzon R, Valsan PK (2015) Parallelism-aware memory interference delay analysis for COTS multicore systems. In: IEEE Euromicro conference on real-time systems Yun H, Pellizzon R, Valsan PK (2015) Parallelism-aware memory interference delay analysis for COTS multicore systems. In: IEEE Euromicro conference on real-time systems
Metadaten
Titel
Reduced latency DRAM for multi-core safety-critical real-time systems
verfasst von
Mohamed Hassan
Publikationsdatum
10.09.2019
Verlag
Springer US
Erschienen in
Real-Time Systems / Ausgabe 2/2020
Print ISSN: 0922-6443
Elektronische ISSN: 1573-1383
DOI
https://doi.org/10.1007/s11241-019-09338-8