Skip to main content
Erschienen in: Optical and Quantum Electronics 4/2024

01.04.2024

Tree-based wireless NoC architecture: enhancing scalability and latency

verfasst von: Smriti Srivastava, Minal Moharir, Krithika Venkatesh

Erschienen in: Optical and Quantum Electronics | Ausgabe 4/2024

Einloggen

Aktivieren Sie unsere intelligente Suche, um passende Fachinhalte oder Patente zu finden.

search-config
loading …

Abstract

The most recent and elegant solution to address the problem of scalability in network-on-chip (NoC) architectures is WiNoCs (Wireless NoCs).WiNoC, a wired-wireless hybrid architecture in NoC designs, is becoming increasingly popular for its fast unicast message delivery. However, modern applications often require multicasting and broadcasting messages instead. In the proposed work, the widely used simulation tool BookSim is employed, which offers reconfigurability and openness and it enables the analysis of both wired and wireless NoC architectures. This paper proposes a Tree-based Wireless NoC architecture approach incorporating MDND (message duplication in non-destination), which provides multicast support for WiNoC by exploring the inherent broadcast-type communication of wireless connection. Additionally, the proposed work supports the configuration of various aspects, including its multicast injection rate, enabling or disabling its multicast capabilities, and determining the number of multicast recipients for each packet. The proposed Tree-based approach showed a significant improvement in average multicast transaction latency compared to the traditional NoC. There is a noticeable reduction in the average network latency, the flit latency, and the total power. The analysis also shows a reduction in average packet latency for unicast messages and average packet latency for broadcast messages.

Sie haben noch keine Lizenz? Dann Informieren Sie sich jetzt über unsere Produkte:

Springer Professional "Technik"

Online-Abonnement

Mit Springer Professional "Technik" erhalten Sie Zugriff auf:

  • über 67.000 Bücher
  • über 390 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Maschinenbau + Werkstoffe




 

Jetzt Wissensvorsprung sichern!

Springer Professional "Wirtschaft+Technik"

Online-Abonnement

Mit Springer Professional "Wirtschaft+Technik" erhalten Sie Zugriff auf:

  • über 102.000 Bücher
  • über 537 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Finance + Banking
  • Management + Führung
  • Marketing + Vertrieb
  • Maschinenbau + Werkstoffe
  • Versicherung + Risiko

Jetzt Wissensvorsprung sichern!

Springer Professional "Wirtschaft"

Online-Abonnement

Mit Springer Professional "Wirtschaft" erhalten Sie Zugriff auf:

  • über 67.000 Bücher
  • über 340 Zeitschriften

aus folgenden Fachgebieten:

  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Finance + Banking
  • Management + Führung
  • Marketing + Vertrieb
  • Versicherung + Risiko




Jetzt Wissensvorsprung sichern!

Literatur
Zurück zum Zitat Abadal, S., et al.: Scalability of broadcast performance in wireless network-on-chip. IEEE TPDS. 27(12), 3631–3645 (2016) Abadal, S., et al.: Scalability of broadcast performance in wireless network-on-chip. IEEE TPDS. 27(12), 3631–3645 (2016)
Zurück zum Zitat Abadal, S., Mestres, A., Nemirovsky, M., Lee, H., Gonzalez, A., Alarcon, E., Cabellos-Aparicio, A.: Scalability of broadcast performance in wireless network-on-chip. IEEE Trans. Parallel Distrib. Syst. 27(12), 52–54 (2016)CrossRef Abadal, S., Mestres, A., Nemirovsky, M., Lee, H., Gonzalez, A., Alarcon, E., Cabellos-Aparicio, A.: Scalability of broadcast performance in wireless network-on-chip. IEEE Trans. Parallel Distrib. Syst. 27(12), 52–54 (2016)CrossRef
Zurück zum Zitat Abadal, S., et al.: OrthoNoC: a broadcast-oriented dual-planewireless network-on-chip architecture. IEEE TPDS 29(3), 628–641 (2018) Abadal, S., et al.: OrthoNoC: a broadcast-oriented dual-planewireless network-on-chip architecture. IEEE TPDS 29(3), 628–641 (2018)
Zurück zum Zitat Achballah, A.B., Othman, S.B., Saoud, S.B.: An extensive review of emerging technology networks−on−chip proposals. Glob. J. Res. Eng. Electr. Electr. Eng. 17(6), 16–40 (2017) Achballah, A.B., Othman, S.B., Saoud, S.B.: An extensive review of emerging technology networks−on−chip proposals. Glob. J. Res. Eng. Electr. Electr. Eng. 17(6), 16–40 (2017)
Zurück zum Zitat Alaei, M., Yazdanpanah, F.: A high-performance FPGA-based multicrossbar prioritized network-on-chip. Concurr. Comput. 33(6), e6055 (2021)CrossRef Alaei, M., Yazdanpanah, F.: A high-performance FPGA-based multicrossbar prioritized network-on-chip. Concurr. Comput. 33(6), e6055 (2021)CrossRef
Zurück zum Zitat Aruna, M.R., Jishaa, P.A., Joseb, J.: A novel energy efficient multicasting approach for mesh NoCs. In: 6th International Conference on Advances in Computing & Communications, ICACC 2016, 6–8 September 2016, Cochin, India (2016) Aruna, M.R., Jishaa, P.A., Joseb, J.: A novel energy efficient multicasting approach for mesh NoCs. In: 6th International Conference on Advances in Computing & Communications, ICACC 2016, 6–8 September 2016, Cochin, India (2016)
Zurück zum Zitat Bhaskar, A., Venkatesh, T.G.: Performance analysis of network-on-chip in manycore processors. J. Parallel Distrib. Comput. 147, 196–208 (2021)CrossRef Bhaskar, A., Venkatesh, T.G.: Performance analysis of network-on-chip in manycore processors. J. Parallel Distrib. Comput. 147, 196–208 (2021)CrossRef
Zurück zum Zitat Boppana, R., Chalasani, S., Raghavendra, C.: Resource deadlocks and performance of wormhole multicast routing algorithms. IEEE Trans. Parallel Distrib. Syst. 9(6), 535–549 (1998)CrossRef Boppana, R., Chalasani, S., Raghavendra, C.: Resource deadlocks and performance of wormhole multicast routing algorithms. IEEE Trans. Parallel Distrib. Syst. 9(6), 535–549 (1998)CrossRef
Zurück zum Zitat Catania, V., Mineo, A., Monteleone, S., Palesi, M., Patti, D.: Cycle-accurate network on chip simulation with Noxim. ACM Trans. Model. Comput. Simul. (TOMACS). 27(1), 1–25 (2016)CrossRef Catania, V., Mineo, A., Monteleone, S., Palesi, M., Patti, D.: Cycle-accurate network on chip simulation with Noxim. ACM Trans. Model. Comput. Simul. (TOMACS). 27(1), 1–25 (2016)CrossRef
Zurück zum Zitat Chatmen, M.F., Baganne, A., Tourki, R.: A new network on chip design dedicated to multicast service. Int. J. Adv. Comput. Sci. Appl. (IJACSA) 7(4), 104–116 (2016) Chatmen, M.F., Baganne, A., Tourki, R.: A new network on chip design dedicated to multicast service. Int. J. Adv. Comput. Sci. Appl. (IJACSA) 7(4), 104–116 (2016)
Zurück zum Zitat Chen, J., Dai, P.: Multicast transmission with energy-proportional power-gating scheme for wireless interconnects NoC. In: IEEE Globecom Workshops, pp. 1–6. San Diego (2015) Chen, J., Dai, P.: Multicast transmission with energy-proportional power-gating scheme for wireless interconnects NoC. In: IEEE Globecom Workshops, pp. 1–6. San Diego (2015)
Zurück zum Zitat Deb, S., Ganguly, A., Pande, P.P., Belzer, B., Heo, D.: Wireless NoC as interconnection backbone for multicore chips: promises and challenges. IEEE J. Emerg. Sel. Topics Circuits Syst. 2(2), 1–6 (2012)CrossRef Deb, S., Ganguly, A., Pande, P.P., Belzer, B., Heo, D.: Wireless NoC as interconnection backbone for multicore chips: promises and challenges. IEEE J. Emerg. Sel. Topics Circuits Syst. 2(2), 1–6 (2012)CrossRef
Zurück zum Zitat Duraisamy, K., et al.: Multicast-aware high-performance wireless network-on-chip architectures. IEEE TVLSI. 25(3), 1126–1139 (2017) Duraisamy, K., et al.: Multicast-aware high-performance wireless network-on-chip architectures. IEEE TVLSI. 25(3), 1126–1139 (2017)
Zurück zum Zitat Ebrahimi, M., et al.: An efficient dynamic multicast routing protocol for distributing traffic in NOCs, pp. 1064–1069 (2009) Ebrahimi, M., et al.: An efficient dynamic multicast routing protocol for distributing traffic in NOCs, pp. 1064–1069 (2009)
Zurück zum Zitat Floyd, B.A., Hung, C.M., Kenneth, K.O.: Intra-chip wireless interconnect for clock distribution implemented with integrated antennas, receivers, and transmitters. IEEE J. Solid State Circuits 37(5), 1–3 (2002)CrossRef Floyd, B.A., Hung, C.M., Kenneth, K.O.: Intra-chip wireless interconnect for clock distribution implemented with integrated antennas, receivers, and transmitters. IEEE J. Solid State Circuits 37(5), 1–3 (2002)CrossRef
Zurück zum Zitat Furber, S.B., Lester, D.R., Plana, L.A., Garside, J.D., Painkras, E., Temple, S., Brown, A.D.: Overview of the spinnaker system architecture. IEEE Trans. Comput. 62(12), 2454–2467 (2013)MathSciNetCrossRef Furber, S.B., Lester, D.R., Plana, L.A., Garside, J.D., Painkras, E., Temple, S., Brown, A.D.: Overview of the spinnaker system architecture. IEEE Trans. Comput. 62(12), 2454–2467 (2013)MathSciNetCrossRef
Zurück zum Zitat Karkar, A., et al.: A survey of emerging interconnects for on-chip efficient multicast and broadcast in many-cores. IEEE Circuits Syst. Mag. 16(1), 58–72 (2016)CrossRef Karkar, A., et al.: A survey of emerging interconnects for on-chip efficient multicast and broadcast in many-cores. IEEE Circuits Syst. Mag. 16(1), 58–72 (2016)CrossRef
Zurück zum Zitat Kim, J.-Y., et al.: A 118.4 GB/s multicasting network-on-chip with hierarchical star-ring combined topology for real-time object recognition. IEEE JSSC. 45(7), 1399–1409 (2010)ADS Kim, J.-Y., et al.: A 118.4 GB/s multicasting network-on-chip with hierarchical star-ring combined topology for real-time object recognition. IEEE JSSC. 45(7), 1399–1409 (2010)ADS
Zurück zum Zitat Konstantinou, D., Nicopoulos, C., Lee, J., Sirakoulis, G., Dimitrakopoulos, G.: SmartFork: partitioned multicast allocation and switching in network-on-chip routers. In: IEEE International Symposium on Circuits and Systems (ISCAS), pp. 1–5 (2020) Konstantinou, D., Nicopoulos, C., Lee, J., Sirakoulis, G., Dimitrakopoulos, G.: SmartFork: partitioned multicast allocation and switching in network-on-chip routers. In: IEEE International Symposium on Circuits and Systems (ISCAS), pp. 1–5 (2020)
Zurück zum Zitat Lee, S.B., Tam, S.W., Pefkianakis, I., Lu, S., Chang, M.F., Guo, C., Reinman, G., Peng, C., Naik, M., Zhang, L., Cong, L.: A scalable micro wireless interconnect structure for CMPs. In: MobiCom '09 Proceedings of the 15th Annual International Conference on Mobile Computing and Networking, pp. 52–59 (2009) Lee, S.B., Tam, S.W., Pefkianakis, I., Lu, S., Chang, M.F., Guo, C., Reinman, G., Peng, C., Naik, M., Zhang, L., Cong, L.: A scalable micro wireless interconnect structure for CMPs. In: MobiCom '09 Proceedings of the 15th Annual International Conference on Mobile Computing and Networking, pp. 52–59 (2009)
Zurück zum Zitat Li, B., et al.: Wireless interconnects enabled on-chip multicast communication. In: 2012 11th DCABES, Guilin, pp. 135–138 (2012) Li, B., et al.: Wireless interconnects enabled on-chip multicast communication. In: 2012 11th DCABES, Guilin, pp. 135–138 (2012)
Zurück zum Zitat Lin, X., Ni, L.M.: Multicast communication in multicomputer networks. IEEE TPDS. 4(10), 1105–1117 (1993) Lin, X., Ni, L.M.: Multicast communication in multicomputer networks. IEEE TPDS. 4(10), 1105–1117 (1993)
Zurück zum Zitat Lin, X., et al.: Deadlock-free multicast wormhole routing in 2-D mesh multicomputers. IEEE TPDS 5(8), 793–804 (1994) Lin, X., et al.: Deadlock-free multicast wormhole routing in 2-D mesh multicomputers. IEEE TPDS 5(8), 793–804 (1994)
Zurück zum Zitat Malumbres, M.P., et al.: An efficient implementation of tree-based multicast routing for distributed shared-memory multiprocessors. JSA 46(11), 1019–1032 (2000) Malumbres, M.P., et al.: An efficient implementation of tree-based multicast routing for distributed shared-memory multiprocessors. JSA 46(11), 1019–1032 (2000)
Zurück zum Zitat Palesi, M., Collotta, M., Mineo, A., Catania, V.: An efficient radio access control mechanism for wireless network-on-chip architectures. J. Low Power Electr. Appl. 5(2), 38–56 (2015)CrossRef Palesi, M., Collotta, M., Mineo, A., Catania, V.: An efficient radio access control mechanism for wireless network-on-chip architectures. J. Low Power Electr. Appl. 5(2), 38–56 (2015)CrossRef
Zurück zum Zitat Rahman, M.M., Al-Naeem, M., Ali, M., Sufian, A.: TFBN: a cost effective high performance hierarchical interconnection network. Appl. Sci. 10, 8252 (2020)CrossRef Rahman, M.M., Al-Naeem, M., Ali, M., Sufian, A.: TFBN: a cost effective high performance hierarchical interconnection network. Appl. Sci. 10, 8252 (2020)CrossRef
Zurück zum Zitat Yang, W., Chen, Y., Huang, Z., Zhang, H., Gu, H.: Path-based routing and wavelength assignment for multiple multicasts in optical network-on-chip. In: IEEE 21st International Conference on High Performance Computing and Communications; IEEE 17th International Conference on Smart City; IEEE 5th International Conference on Data Science and Systems (HPCC/SmartCity/DSS), pp. 1155–1162 (2019) Yang, W., Chen, Y., Huang, Z., Zhang, H., Gu, H.: Path-based routing and wavelength assignment for multiple multicasts in optical network-on-chip. In: IEEE 21st International Conference on High Performance Computing and Communications; IEEE 17th International Conference on Smart City; IEEE 5th International Conference on Data Science and Systems (HPCC/SmartCity/DSS), pp. 1155–1162 (2019)
Zurück zum Zitat Yazdanpanah, F.: A two-level network-on-chip architecture with multicast support. J. Parellel Distrib. Comput. 172, 114–130 (2023)CrossRef Yazdanpanah, F.: A two-level network-on-chip architecture with multicast support. J. Parellel Distrib. Comput. 172, 114–130 (2023)CrossRef
Zurück zum Zitat Yazdanpanah, F., Afsharmazayejani, R.: A systematic analysis of power saving techniques for wireless network-on-chip architectures. J. Syst. Archit. 126, 102485 (2022)CrossRef Yazdanpanah, F., Afsharmazayejani, R.: A systematic analysis of power saving techniques for wireless network-on-chip architectures. J. Syst. Archit. 126, 102485 (2022)CrossRef
Zurück zum Zitat Zheng, Y., Yang, H., Shu, Y., Jia, Y., Huang, Z.: mTREE: a customized multicast-enabled tree-based network on chip for AI chips. IEEE Embed. Syst. Lett. 14(3), 143–146 (2022)CrossRef Zheng, Y., Yang, H., Shu, Y., Jia, Y., Huang, Z.: mTREE: a customized multicast-enabled tree-based network on chip for AI chips. IEEE Embed. Syst. Lett. 14(3), 143–146 (2022)CrossRef
Metadaten
Titel
Tree-based wireless NoC architecture: enhancing scalability and latency
verfasst von
Smriti Srivastava
Minal Moharir
Krithika Venkatesh
Publikationsdatum
01.04.2024
Verlag
Springer US
Erschienen in
Optical and Quantum Electronics / Ausgabe 4/2024
Print ISSN: 0306-8919
Elektronische ISSN: 1572-817X
DOI
https://doi.org/10.1007/s11082-023-05916-0

Weitere Artikel der Ausgabe 4/2024

Optical and Quantum Electronics 4/2024 Zur Ausgabe

Neuer Inhalt