Skip to main content

2017 | OriginalPaper | Buchkapitel

xMAS Based Accurate Modeling and Progress Verification of NoCs

verfasst von : Surajit Das, Chandan Karfa, Santosh Biswas

Erschienen in: VLSI Design and Test

Verlag: Springer Singapore

Aktivieren Sie unsere intelligente Suche, um passende Fachinhalte oder Patente zu finden.

search-config
loading …

Abstract

Network on Chip (NoC) plays a significant role in improving computation speed in Tiled Chip Multiprocessor (TCMP) by acting as an efficient interconnection network between the tiles. Designing a NoC satisfying all important functional properties with high efficiency is challenging. Some of the crucial properties to be fulfilled for proper functioning of NoC with efficiency are namely progress, mutual exclusion, starvation freedom, deadlock freedom, congestion freedom and livelock freedom. Exhaustive checking of such system properties in NoC can be done by formal verification method. In existing verification works, NoC are modeled in abstract level. Therefore, the properties verified does not guarantee that they work in real hardware. In our work, we have modeled NoC router using Executable Micro Architectural Specification (xMAS) primitives so that our design becomes near to register transfer level (RTL). In this model, we have verified progress property with help of NuSMV model checker. Experimental results show that our model is scalable for progress verification in Mesh and Ring topologies.

Sie haben noch keine Lizenz? Dann Informieren Sie sich jetzt über unsere Produkte:

Springer Professional "Wirtschaft+Technik"

Online-Abonnement

Mit Springer Professional "Wirtschaft+Technik" erhalten Sie Zugriff auf:

  • über 102.000 Bücher
  • über 537 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Finance + Banking
  • Management + Führung
  • Marketing + Vertrieb
  • Maschinenbau + Werkstoffe
  • Versicherung + Risiko

Jetzt Wissensvorsprung sichern!

Springer Professional "Technik"

Online-Abonnement

Mit Springer Professional "Technik" erhalten Sie Zugriff auf:

  • über 67.000 Bücher
  • über 390 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Maschinenbau + Werkstoffe




 

Jetzt Wissensvorsprung sichern!

Springer Professional "Wirtschaft"

Online-Abonnement

Mit Springer Professional "Wirtschaft" erhalten Sie Zugriff auf:

  • über 67.000 Bücher
  • über 340 Zeitschriften

aus folgenden Fachgebieten:

  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Finance + Banking
  • Management + Führung
  • Marketing + Vertrieb
  • Versicherung + Risiko




Jetzt Wissensvorsprung sichern!

Literatur
1.
Zurück zum Zitat Chatterjee, S., Kishinevsky, M., Ogras, U.: xMAS: quick formal modelling of communication fabrics to enable verification. IEEE Des. Test Comput. 29(3), 8088 (2012)CrossRef Chatterjee, S., Kishinevsky, M., Ogras, U.: xMAS: quick formal modelling of communication fabrics to enable verification. IEEE Des. Test Comput. 29(3), 8088 (2012)CrossRef
2.
Zurück zum Zitat Borrione, D., Helmy, A., Pierre, L., Schmaltz, J.: A generic model for formally verifying NoC communication architectures: a case study. In: First International Symposium on Networks-on-Chip (NOCS 2007), pp. 127–136 (2007) Borrione, D., Helmy, A., Pierre, L., Schmaltz, J.: A generic model for formally verifying NoC communication architectures: a case study. In: First International Symposium on Networks-on-Chip (NOCS 2007), pp. 127–136 (2007)
3.
Zurück zum Zitat Borrione, D., Helmy, A., Pierre, L., Schmaltz, J.: Executable formal specification and validation of NoC communication infrastructures. In: Proceedings of the 21st Annual Symposium on Integrated Circuits and System Design, pp. 176–181 (2008) Borrione, D., Helmy, A., Pierre, L., Schmaltz, J.: Executable formal specification and validation of NoC communication infrastructures. In: Proceedings of the 21st Annual Symposium on Integrated Circuits and System Design, pp. 176–181 (2008)
4.
Zurück zum Zitat Verbeek, F., Schmaltz, J.: Easy formal specification and validation of unbounded networks-on-chips architectures. ACM Trans. Des. Autom. Electron. Syst. 17, 1:1–1:28 (2012) Verbeek, F., Schmaltz, J.: Easy formal specification and validation of unbounded networks-on-chips architectures. ACM Trans. Des. Autom. Electron. Syst. 17, 1:1–1:28 (2012)
5.
Zurück zum Zitat Lan, Y.-C., Lo, S.-H., Lin, Y.-C., Hu, Y.-H., Chen, S.-J.: BiNoC: a bidirectional NoC architecture with dynamic self-reconfigurable channel. In: NOCS 2009, pp. 266–275 (2009) Lan, Y.-C., Lo, S.-H., Lin, Y.-C., Hu, Y.-H., Chen, S.-J.: BiNoC: a bidirectional NoC architecture with dynamic self-reconfigurable channel. In: NOCS 2009, pp. 266–275 (2009)
6.
Zurück zum Zitat Chen, Y.R., Su, W.T., Hsiung, P.A., Lan, Y.C., Hu, Y.H., Chen, S.J.: Formal modeling and verification for network-on-chip. In: The 2010 International Conference on Green Circuits and Systems, pp. 299–304 (2010) Chen, Y.R., Su, W.T., Hsiung, P.A., Lan, Y.C., Hu, Y.H., Chen, S.J.: Formal modeling and verification for network-on-chip. In: The 2010 International Conference on Green Circuits and Systems, pp. 299–304 (2010)
7.
Zurück zum Zitat Holcomb, D.E., Gotmanov, A., Kishinevsky, M., Seshia, S.A.: Compositional performance verification of NoC designs. In: MEMCODE 2012, pp. 1–10 (2012) Holcomb, D.E., Gotmanov, A., Kishinevsky, M., Seshia, S.A.: Compositional performance verification of NoC designs. In: MEMCODE 2012, pp. 1–10 (2012)
8.
Zurück zum Zitat Ray, S., Brayton, R.K.: Scalable progress verification in credit-based flow-control systems. In: DATE 2012, pp. 905–910 (2012) Ray, S., Brayton, R.K.: Scalable progress verification in credit-based flow-control systems. In: DATE 2012, pp. 905–910 (2012)
10.
Zurück zum Zitat Joosten, S.J.C., Schmaltz, J.: Scalable liveness verification for communication fabrics. In: DATE 2014, pp. 1–6 (2014) Joosten, S.J.C., Schmaltz, J.: Scalable liveness verification for communication fabrics. In: DATE 2014, pp. 1–6 (2014)
11.
Zurück zum Zitat Burns, F., Sokolov, D., Yakovlev, A.: GALS synthesis and verification for xMAS models. In: DATE 2015, pp. 1419–1424 (2015) Burns, F., Sokolov, D., Yakovlev, A.: GALS synthesis and verification for xMAS models. In: DATE 2015, pp. 1419–1424 (2015)
13.
Zurück zum Zitat Zhang, W., Hou, L., Wang, J., Geng, S., Wu, W.: Comparison research between XY and odd-even routing algorithm of a 2-dimension 3X3 mesh topology network-on-chip. In: 2009 WRI Global Congress on Intelligent Systems, vol. 3, pp. 329–333 (2009) Zhang, W., Hou, L., Wang, J., Geng, S., Wu, W.: Comparison research between XY and odd-even routing algorithm of a 2-dimension 3X3 mesh topology network-on-chip. In: 2009 WRI Global Congress on Intelligent Systems, vol. 3, pp. 329–333 (2009)
Metadaten
Titel
xMAS Based Accurate Modeling and Progress Verification of NoCs
verfasst von
Surajit Das
Chandan Karfa
Santosh Biswas
Copyright-Jahr
2017
Verlag
Springer Singapore
DOI
https://doi.org/10.1007/978-981-10-7470-7_74

Neuer Inhalt