Skip to main content
Erschienen in: Microsystem Technologies 5/2019

24.07.2018 | Technical Paper

A CAD approach for suppression of power supply noise and performance analysis of some multi-core processors in pre-layout stage

verfasst von: Partha Mitra, Jaydeb Bhaumik

Erschienen in: Microsystem Technologies | Ausgabe 5/2019

Einloggen

Aktivieren Sie unsere intelligente Suche, um passende Fachinhalte oder Patente zu finden.

search-config
loading …

Abstract

In modern system-on-chip, the number of transistors has grown exponentially. This requires an efficient electrical power distribution network for proper functioning of the chip. Voltages at different nodes with respect to the desired level may vary which correspond to generation of supply noise. This paper deals with the estimation and allocation of decoupling capacitance for reduction of supply noise at the pre-layout level. Usually, decoupling capacitors are placed near the noisy functional modules, which acts as local charge storing devices and effectively reduce the transients. In this work, analysis of various sources of supply noises has been performed. Depending on the amount of supply noise, the decoupling capacitance has been estimated and allocated with minimum increment in power consumption and propagation delay. We have considered 512-points, 1-k point, 2-k point and 4-k point Fast Fourier Transform processors and International Test Conference 1999 (b14 and b17) benchmark circuits as our test circuits. This work reports up to 51.9% reduction of peak supply noise at the cost of 0.48 and 1.44% increase in power consumption and delay respectively. This estimation and subsequent allocation of decoupling capacitances will help for more accurate design and implementation at the layout stage.

Sie haben noch keine Lizenz? Dann Informieren Sie sich jetzt über unsere Produkte:

Springer Professional "Wirtschaft+Technik"

Online-Abonnement

Mit Springer Professional "Wirtschaft+Technik" erhalten Sie Zugriff auf:

  • über 102.000 Bücher
  • über 537 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Finance + Banking
  • Management + Führung
  • Marketing + Vertrieb
  • Maschinenbau + Werkstoffe
  • Versicherung + Risiko

Jetzt Wissensvorsprung sichern!

Springer Professional "Technik"

Online-Abonnement

Mit Springer Professional "Technik" erhalten Sie Zugriff auf:

  • über 67.000 Bücher
  • über 390 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Maschinenbau + Werkstoffe




 

Jetzt Wissensvorsprung sichern!

Literatur
Zurück zum Zitat Ajami AH, Banerjee K, Pedram M (2005) Scaling analysis of on-chip power grid voltage variations in nanometer scale ULSI. In Analog Integr Circuits Signal Process 42:277–290CrossRef Ajami AH, Banerjee K, Pedram M (2005) Scaling analysis of on-chip power grid voltage variations in nanometer scale ULSI. In Analog Integr Circuits Signal Process 42:277–290CrossRef
Zurück zum Zitat Ang M, Salem R, Taylor A (2000) An on-chip voltage regulator using switched decoupling capacitors. In: Proceedings Int. Solid-State Circuits Conference Dig. Tech. Papers, pp 438–439 Ang M, Salem R, Taylor A (2000) An on-chip voltage regulator using switched decoupling capacitors. In: Proceedings Int. Solid-State Circuits Conference Dig. Tech. Papers, pp 438–439
Zurück zum Zitat Bai G, Bobba S, Hajj IN (2000) Simulation and optimization of the power distribution network in VLSI circuits. In: Proceedings Int. Conference Computer-Aided Design, pp 481–486 Bai G, Bobba S, Hajj IN (2000) Simulation and optimization of the power distribution network in VLSI circuits. In: Proceedings Int. Conference Computer-Aided Design, pp 481–486
Zurück zum Zitat Chang CS, Oscilowski A, Bracken RC (1998) Future challenges in electronics packaging. IEEE Trans Circuits and Devices 14(2):45–54CrossRef Chang CS, Oscilowski A, Bracken RC (1998) Future challenges in electronics packaging. IEEE Trans Circuits and Devices 14(2):45–54CrossRef
Zurück zum Zitat Chen LT, Shen J, Hu Z (2017) From structures, packaging to application: A system-level review for micro direct methanol fuel cell. Renew Sustain Energy Rev 80:669–678CrossRef Chen LT, Shen J, Hu Z (2017) From structures, packaging to application: A system-level review for micro direct methanol fuel cell. Renew Sustain Energy Rev 80:669–678CrossRef
Zurück zum Zitat Das D (2015) VLSI design, 2nd edn. Oxford University Press, New DelhiMATH Das D (2015) VLSI design, 2nd edn. Oxford University Press, New DelhiMATH
Zurück zum Zitat Kang D, Yiran C, Roy K (2005) Power Supply noise—aware scheduling and allocation for DSP synthesis. In: Proceedings of sixth international symposium on quality electronic design (ISQED’05) Kang D, Yiran C, Roy K (2005) Power Supply noise—aware scheduling and allocation for DSP synthesis. In: Proceedings of sixth international symposium on quality electronic design (ISQED’05)
Zurück zum Zitat Karim T (2012) On-chip power supply noise: scaling, suppression and detection. Dissertation in Doctor of Philosophy (Electrical and Computer Engineering), University of Waterloo Karim T (2012) On-chip power supply noise: scaling, suppression and detection. Dissertation in Doctor of Philosophy (Electrical and Computer Engineering), University of Waterloo
Zurück zum Zitat Mitra P, Bhaumik J (2017) Pre-Layout Decap Allocation for Noise suppression and Performance Analysis for 512-Point FFT core. In: Proceedings of 2 017 Devices for Circuits (DevIC), pp 341–345 Mitra P, Bhaumik J (2017) Pre-Layout Decap Allocation for Noise suppression and Performance Analysis for 512-Point FFT core. In: Proceedings of 2 017 Devices for Circuits (DevIC), pp 341–345
Zurück zum Zitat Pant S (2008) Design and analysis of Power Distribution Networks in VLSI Circuits. Dissertation in Doctor of Philosophy (Electrical Engineering), The University of Michigan Pant S (2008) Design and analysis of Power Distribution Networks in VLSI Circuits. Dissertation in Doctor of Philosophy (Electrical Engineering), The University of Michigan
Zurück zum Zitat Qi Z, Li H, Wu L, Cai Y, Hong X (2005) Fast decap allocation algorithm for robust on-chip power delivery. In: Proceedings of 2005 ISQED Sixth International Symposium on Quality of Electronic Design Qi Z, Li H, Wu L, Cai Y, Hong X (2005) Fast decap allocation algorithm for robust on-chip power delivery. In: Proceedings of 2005 ISQED Sixth International Symposium on Quality of Electronic Design
Zurück zum Zitat Shah K (2007) Power Grid Analysis in VLSI Designs. Dissertation in Master of Science (Engineering), Super Computer Education and Research Centre, Indian Institute of Science Bangalore Shah K (2007) Power Grid Analysis in VLSI Designs. Dissertation in Master of Science (Engineering), Super Computer Education and Research Centre, Indian Institute of Science Bangalore
Zurück zum Zitat Shi Y, Xiong J, Liu C, He L (2008a) Efficient decoupling capacitance budgeting considering operation and process variations. IEEE Trans CAD 27(7):1253–1263CrossRef Shi Y, Xiong J, Liu C, He L (2008a) Efficient decoupling capacitance budgeting considering operation and process variations. IEEE Trans CAD 27(7):1253–1263CrossRef
Zurück zum Zitat Shi Y, Xiong J, Liu C, He L (2008b) Efficient decoupling capacitance budgeting considering operation and process variations. IEEE Trans CAD 27(7):1253–1263CrossRef Shi Y, Xiong J, Liu C, He L (2008b) Efficient decoupling capacitance budgeting considering operation and process variations. IEEE Trans CAD 27(7):1253–1263CrossRef
Zurück zum Zitat Shimazaki K, Okumura T (2009) A minimum decap allocation technique based on simultaneous switching for nanoscale SoC. In: Proceedings IEEE Custom Integrated Circuits Conference Shimazaki K, Okumura T (2009) A minimum decap allocation technique based on simultaneous switching for nanoscale SoC. In: Proceedings IEEE Custom Integrated Circuits Conference
Zurück zum Zitat Su H, Gala K, Sapatnekar S (2000) Fast analysis and optimization of power/ground networks. Proceedings of International Conference Computer-Aided Design, pp 477–480 Su H, Gala K, Sapatnekar S (2000) Fast analysis and optimization of power/ground networks. Proceedings of International Conference Computer-Aided Design, pp 477–480
Zurück zum Zitat Tang SN, Liao CH, Chang TY (2012) An area and energy-efficient multimode FFT processor for WPAN/WLAN/WMAN Systems. IEEE J Solid State Circuits 47(6):1419–1435CrossRef Tang SN, Liao CH, Chang TY (2012) An area and energy-efficient multimode FFT processor for WPAN/WLAN/WMAN Systems. IEEE J Solid State Circuits 47(6):1419–1435CrossRef
Zurück zum Zitat Wang JM, Nguyen T (2000) Extended Krylov subspace method for reduced order analysis of linear circuits with multiple sources. In: Proc. Design Automation Conference, pp 247–252 Wang JM, Nguyen T (2000) Extended Krylov subspace method for reduced order analysis of linear circuits with multiple sources. In: Proc. Design Automation Conference, pp 247–252
Zurück zum Zitat Yan JT, Chen ZW, Wu MY (2007) Area driven decoupling capacitance allocation in noise aware floorplan for signal integrity. In: Proceedings of ISCAS 2007 IEEE International Symposium on Circuits and Systems Yan JT, Chen ZW, Wu MY (2007) Area driven decoupling capacitance allocation in noise aware floorplan for signal integrity. In: Proceedings of ISCAS 2007 IEEE International Symposium on Circuits and Systems
Zurück zum Zitat Zhao S, Roy K, Koh CK (2000a) Estimation of inductive and resistive switching noise on power supply network in deep sub-micron CMOS circuits. Proc. Int. Conf. Computer Design, pp 65–72 Zhao S, Roy K, Koh CK (2000a) Estimation of inductive and resistive switching noise on power supply network in deep sub-micron CMOS circuits. Proc. Int. Conf. Computer Design, pp 65–72
Zurück zum Zitat Zhao M, Panda R, Sapatnekar S, Edwards T, Chaudhry R, Blaauw D (2000b) Hierarchical Analysis of Power Distribution Networks. In: Proceedings of Design Automation Conference, pp 150–155 Zhao M, Panda R, Sapatnekar S, Edwards T, Chaudhry R, Blaauw D (2000b) Hierarchical Analysis of Power Distribution Networks. In: Proceedings of Design Automation Conference, pp 150–155
Zurück zum Zitat Zhao S, Roy K, Koh CK (2001) Decoupling capacitance allocation for power supply noise suppression. In: Proceedings of the 2001 International Symposium on Physical Design ACM, pp 66–71 Zhao S, Roy K, Koh CK (2001) Decoupling capacitance allocation for power supply noise suppression. In: Proceedings of the 2001 International Symposium on Physical Design ACM, pp 66–71
Zurück zum Zitat Zhuo C, Hu J, Zhao M, Chen K (2006) Fast decap allocation based on algebraic multigrid. In: Proceedings of 2006 ICCAD IEEE/ACM International Conference On Computer-Aided Design Zhuo C, Hu J, Zhao M, Chen K (2006) Fast decap allocation based on algebraic multigrid. In: Proceedings of 2006 ICCAD IEEE/ACM International Conference On Computer-Aided Design
Metadaten
Titel
A CAD approach for suppression of power supply noise and performance analysis of some multi-core processors in pre-layout stage
verfasst von
Partha Mitra
Jaydeb Bhaumik
Publikationsdatum
24.07.2018
Verlag
Springer Berlin Heidelberg
Erschienen in
Microsystem Technologies / Ausgabe 5/2019
Print ISSN: 0946-7076
Elektronische ISSN: 1432-1858
DOI
https://doi.org/10.1007/s00542-018-4043-7

Weitere Artikel der Ausgabe 5/2019

Microsystem Technologies 5/2019 Zur Ausgabe

Neuer Inhalt