Skip to main content
Erschienen in: The Journal of Supercomputing 5/2016

01.05.2016

A novel heuristic algorithm for IP block mapping onto mesh-based networks-on-chip

verfasst von: Xinyu Wang, Haikuo Liu, Zhigang Yu

Erschienen in: The Journal of Supercomputing | Ausgabe 5/2016

Einloggen

Aktivieren Sie unsere intelligente Suche, um passende Fachinhalte oder Patente zu finden.

search-config
loading …

Abstract

With advancement in the nanometer era, more and more intellectual property (IP) blocks can be integrated on a single die. Network-on-chip (NoC) has emerged as a viable alternative to unplug the communication bottleneck in system-on-chip. In the NoC synthesis flow, IP block mapping problem is one of the holistic research problems, which aims to minimize the overall communication cost or power consumption of the network. Since mesh is a well-accepted topology, we focus on IP mapping problem onto mesh-based NoCs in this paper. The IP mapping problem is proven to be NP-hard. No exact algorithm is expected to solve it in the polynomial time, and considerable computation time is required for even small-scale instances. In this paper, we analyze the symmetry character in mesh and propose an adaptive memetic algorithm (AMA) to solve the application mapping problem. The novel AMA method integrates both global and local optimization techniques, which contributes to the effectiveness and efficiency of the algorithm. Experiments have been carried out under both real application and synthetic benchmarks. Experimental results indicate that the proposed AMA outperforms the existing heuristics (CastNet and genetic algorithm) in the aspects of solution quality and power consumption. Within acceptable time limit, AMA could obtain optimal or suboptimal solutions when compared with the exact algorithm.

Sie haben noch keine Lizenz? Dann Informieren Sie sich jetzt über unsere Produkte:

Springer Professional "Wirtschaft"

Online-Abonnement

Mit Springer Professional "Wirtschaft" erhalten Sie Zugriff auf:

  • über 67.000 Bücher
  • über 340 Zeitschriften

aus folgenden Fachgebieten:

  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Finance + Banking
  • Management + Führung
  • Marketing + Vertrieb
  • Versicherung + Risiko




Jetzt Wissensvorsprung sichern!

Springer Professional "Technik"

Online-Abonnement

Mit Springer Professional "Technik" erhalten Sie Zugriff auf:

  • über 67.000 Bücher
  • über 390 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Maschinenbau + Werkstoffe




 

Jetzt Wissensvorsprung sichern!

Springer Professional "Wirtschaft+Technik"

Online-Abonnement

Mit Springer Professional "Wirtschaft+Technik" erhalten Sie Zugriff auf:

  • über 102.000 Bücher
  • über 537 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Finance + Banking
  • Management + Führung
  • Marketing + Vertrieb
  • Maschinenbau + Werkstoffe
  • Versicherung + Risiko

Jetzt Wissensvorsprung sichern!

Literatur
1.
Zurück zum Zitat Flich J, Bertozzi D (2010) Designing network-on-chip architectures in the nanoscale era. Chapman and Hall/CRC, London Flich J, Bertozzi D (2010) Designing network-on-chip architectures in the nanoscale era. Chapman and Hall/CRC, London
2.
Zurück zum Zitat Furhad M, Kim J (2014) A shortly connected mesh topology for high performance and energy efficient network-on-chip architectures. J Supercomput 69(2):766–792CrossRef Furhad M, Kim J (2014) A shortly connected mesh topology for high performance and energy efficient network-on-chip architectures. J Supercomput 69(2):766–792CrossRef
3.
Zurück zum Zitat Killian C, Tanougast C, Monteiro F, Monteiro A (2014) Smart reliable network-on-chip. IEEE Trans Very Large Scale Integr Syst 22(2):242–255CrossRef Killian C, Tanougast C, Monteiro F, Monteiro A (2014) Smart reliable network-on-chip. IEEE Trans Very Large Scale Integr Syst 22(2):242–255CrossRef
4.
Zurück zum Zitat Marculescu R, Hu J, Ogras U (2005) Key research problems in NoC design: a holistic perspective. In: International conference on hardware/software codesign and system synthesis, pp 69–74 Marculescu R, Hu J, Ogras U (2005) Key research problems in NoC design: a holistic perspective. In: International conference on hardware/software codesign and system synthesis, pp 69–74
5.
Zurück zum Zitat Wang C, Hu W, Lee S, Bagherzadeh N (2011) Area and power-efficient innovative congestion-aware network-on-chip architecture. J Syst Archit 57(1):24–38CrossRef Wang C, Hu W, Lee S, Bagherzadeh N (2011) Area and power-efficient innovative congestion-aware network-on-chip architecture. J Syst Archit 57(1):24–38CrossRef
6.
Zurück zum Zitat Fan J, Lin X, Jia X (2005) Optimal path embedding in crossed cubes. IEEE Trans Parallel Distrib Syst 16(12):1190–1200CrossRef Fan J, Lin X, Jia X (2005) Optimal path embedding in crossed cubes. IEEE Trans Parallel Distrib Syst 16(12):1190–1200CrossRef
7.
8.
Zurück zum Zitat Rottger M, Schroeder U (2011) Embedding 2-dimensional grids into optimal hypercubes with edge-congestion 1 or 2. Parallel Process Lett 8(2):231–242MathSciNetCrossRef Rottger M, Schroeder U (2011) Embedding 2-dimensional grids into optimal hypercubes with edge-congestion 1 or 2. Parallel Process Lett 8(2):231–242MathSciNetCrossRef
9.
Zurück zum Zitat Wang X, Xiang D, Yu Z (2013) TM: a new and simple topology for interconnection networks. J Supercomput 66(1):514–538CrossRef Wang X, Xiang D, Yu Z (2013) TM: a new and simple topology for interconnection networks. J Supercomput 66(1):514–538CrossRef
10.
Zurück zum Zitat Zhang Z, Greiner A, Greiner S (2008) A reconfigurable routing algorithm for a fault-tolerant 2D-mesh network-on-chip. In: ACM/IEEE design automation conference, pp 441–446 Zhang Z, Greiner A, Greiner S (2008) A reconfigurable routing algorithm for a fault-tolerant 2D-mesh network-on-chip. In: ACM/IEEE design automation conference, pp 441–446
11.
Zurück zum Zitat Pang K, Fresse V, Yao S, De Lima OA (2015) Task mapping and mesh topology exploration for an FPGA-based network on chip. Microprocess Microsyst 39(3):189–199CrossRef Pang K, Fresse V, Yao S, De Lima OA (2015) Task mapping and mesh topology exploration for an FPGA-based network on chip. Microprocess Microsyst 39(3):189–199CrossRef
13.
Zurück zum Zitat Sahu P, Chattopadhyay S (2013) A survey on application mapping strategies for network-on-chip design. J Syst Archit 59(1):60–76MathSciNetCrossRef Sahu P, Chattopadhyay S (2013) A survey on application mapping strategies for network-on-chip design. J Syst Archit 59(1):60–76MathSciNetCrossRef
14.
Zurück zum Zitat Rhee C, Jeong H, Ha S (2004) Many-to-many core-switch mapping in 2-D mesh noc architectures. In: IEEE international conference on computer design, pp 438–443 Rhee C, Jeong H, Ha S (2004) Many-to-many core-switch mapping in 2-D mesh noc architectures. In: IEEE international conference on computer design, pp 438–443
15.
Zurück zum Zitat Tosun S (2011) Cluster-based application mapping method for network-on-chip. Adv Eng Softw 42(10):868–874CrossRef Tosun S (2011) Cluster-based application mapping method for network-on-chip. Adv Eng Softw 42(10):868–874CrossRef
16.
Zurück zum Zitat Hu J, Marculescu R (2005) Energy- and performance-aware mapping for regular NoC architectures. IEEE Trans Comput-Aided Des Integr Circuits Syst 24(4):551–562CrossRef Hu J, Marculescu R (2005) Energy- and performance-aware mapping for regular NoC architectures. IEEE Trans Comput-Aided Des Integr Circuits Syst 24(4):551–562CrossRef
17.
Zurück zum Zitat Erbas C, Cerav-Erbas S, Pimentel A (2006) Multiobjective optimization and evolutionary algorithms for the application mapping problem in multiprocessor system-on-chip design. IEEE Trans Evol Comput 10(3):358–374CrossRef Erbas C, Cerav-Erbas S, Pimentel A (2006) Multiobjective optimization and evolutionary algorithms for the application mapping problem in multiprocessor system-on-chip design. IEEE Trans Evol Comput 10(3):358–374CrossRef
18.
Zurück zum Zitat Nedjah N, Mourelle L (2012) Preference-based multi-objective evolutionary algorithms for power-aware application mapping on NoC platforms. Expert Syst Appl 39:2771–2782CrossRef Nedjah N, Mourelle L (2012) Preference-based multi-objective evolutionary algorithms for power-aware application mapping on NoC platforms. Expert Syst Appl 39:2771–2782CrossRef
19.
Zurück zum Zitat Tosun S, Ozturk O, Ozkan E, Ozen M (2015) Application mapping algorithms for mesh-based network-on-chip architectures. J Supercomput 71(3):995–1017CrossRef Tosun S, Ozturk O, Ozkan E, Ozen M (2015) Application mapping algorithms for mesh-based network-on-chip architectures. J Supercomput 71(3):995–1017CrossRef
20.
Zurück zum Zitat Lu Z, Xia L, Jantsch A (2008) Cluster-based smulated annealing for mapping cores onto 2D mesh networks on chip. In: IEEE international workshop on design and diagnostics of electronic circuits and systems, pp 1–6 Lu Z, Xia L, Jantsch A (2008) Cluster-based smulated annealing for mapping cores onto 2D mesh networks on chip. In: IEEE international workshop on design and diagnostics of electronic circuits and systems, pp 1–6
21.
Zurück zum Zitat Fekr A, Khademzadeh A, Janidarmian M, Bokharaei V (2010) Bandwidth/fault tolerance/contention aware application-specific NoC using PSO as a mapping generator. In: Proceedings of the world congress on engineering, pp 247–252 Fekr A, Khademzadeh A, Janidarmian M, Bokharaei V (2010) Bandwidth/fault tolerance/contention aware application-specific NoC using PSO as a mapping generator. In: Proceedings of the world congress on engineering, pp 247–252
22.
Zurück zum Zitat Sahu PK, Shah T, Manna K, Chattopadhyay S (2014) Application mapping onto mesh-based network-on-chip using discrete particle swarm optimization. IEEE Trans Very Large Scale Integr Syst 22(2):300–312CrossRef Sahu PK, Shah T, Manna K, Chattopadhyay S (2014) Application mapping onto mesh-based network-on-chip using discrete particle swarm optimization. IEEE Trans Very Large Scale Integr Syst 22(2):300–312CrossRef
23.
Zurück zum Zitat Farias M, Barros E, Filho A, Araujo A, Silva A, Melo J (2013) An ant colony metaheuristic for energy aware application mapping on NoCs. In: IEEE international conference on electronics, circuits, and systems, pp 365–368 Farias M, Barros E, Filho A, Araujo A, Silva A, Melo J (2013) An ant colony metaheuristic for energy aware application mapping on NoCs. In: IEEE international conference on electronics, circuits, and systems, pp 365–368
24.
Zurück zum Zitat Wu N, Mu Y, Ge F (2012) GA-MMAS: an energy- and latency-aware mapping algorithm for 2D network-on-chip. IAENG Int J Comput Sci 2194(1):1–6 Wu N, Mu Y, Ge F (2012) GA-MMAS: an energy- and latency-aware mapping algorithm for 2D network-on-chip. IAENG Int J Comput Sci 2194(1):1–6
25.
Zurück zum Zitat Weichslgartner A, Wildermann S, Teich J (2011) Dynamic decentralized mapping of tree-structured applications on NoC architectures. In: IEEE/ACM international symposium on networks on chip, pp 201–208 Weichslgartner A, Wildermann S, Teich J (2011) Dynamic decentralized mapping of tree-structured applications on NoC architectures. In: IEEE/ACM international symposium on networks on chip, pp 201–208
26.
Zurück zum Zitat Murali S, Micheli GD (2004) Bandwidth constrained mapping of cores onto NoC architectures. In: Proceedings of design, automation, and test in Europe, pp 896–901 Murali S, Micheli GD (2004) Bandwidth constrained mapping of cores onto NoC architectures. In: Proceedings of design, automation, and test in Europe, pp 896–901
27.
Zurück zum Zitat Shen W, Chao C, Lien Y, Wu A (2007) A new binomial mapping and optimization algorithm for reduced-complexity mesh-based on-chip network. In: International symposium on networks-on-chips, pp 317–322 Shen W, Chao C, Lien Y, Wu A (2007) A new binomial mapping and optimization algorithm for reduced-complexity mesh-based on-chip network. In: International symposium on networks-on-chips, pp 317–322
28.
Zurück zum Zitat Soumya J, Tiwary S, Chattopadhyay S (2015) Area-performance trade-off in floorplan generation of application-specific network-on-chip with soft cores. J Syst Archit 61:1–11CrossRef Soumya J, Tiwary S, Chattopadhyay S (2015) Area-performance trade-off in floorplan generation of application-specific network-on-chip with soft cores. J Syst Archit 61:1–11CrossRef
29.
Zurück zum Zitat Vakil-Baghmisheh M, Ahandani M (2014) A differential memetic algorithm. Artif Intell Rev 41(1):129–146CrossRef Vakil-Baghmisheh M, Ahandani M (2014) A differential memetic algorithm. Artif Intell Rev 41(1):129–146CrossRef
30.
Zurück zum Zitat Xu J, Yin Y, Cheng TCE, Wu CC, Gu S (2014) An improved memetic algorithm based on a dynamic neighbourhood for the permutation flowshop scheduling problem. Int J Prod Res 52(4):1188–1199CrossRef Xu J, Yin Y, Cheng TCE, Wu CC, Gu S (2014) An improved memetic algorithm based on a dynamic neighbourhood for the permutation flowshop scheduling problem. Int J Prod Res 52(4):1188–1199CrossRef
31.
Zurück zum Zitat Liu T, Jiang Z, Geng N (2013) A memetic algorithm with iterated local search for the capacitated arc routing problem. Int J Prod Res 51(10):3075–3084CrossRef Liu T, Jiang Z, Geng N (2013) A memetic algorithm with iterated local search for the capacitated arc routing problem. Int J Prod Res 51(10):3075–3084CrossRef
32.
Zurück zum Zitat Benlic U, Hao JK (2015) Memetic search for the quadratic assignment problem. Expert Syst Appl 42(1):584–595CrossRef Benlic U, Hao JK (2015) Memetic search for the quadratic assignment problem. Expert Syst Appl 42(1):584–595CrossRef
33.
Zurück zum Zitat Beyki M, Yaghoobi M (2015) Chaotic logic gate: a new approach in set and design by genetic algorithm. Chaos Solitons Fractals 77:247–252CrossRef Beyki M, Yaghoobi M (2015) Chaotic logic gate: a new approach in set and design by genetic algorithm. Chaos Solitons Fractals 77:247–252CrossRef
34.
Zurück zum Zitat Koduru P, Dong Z, Das S, Welch SM, Roe JL, Charbit E (2008) A multiobjective evolutionary-simplex hybrid approach for the optimization of differential equation models of gene networks. IEEE Trans Evol Comput 12(5):572–590CrossRef Koduru P, Dong Z, Das S, Welch SM, Roe JL, Charbit E (2008) A multiobjective evolutionary-simplex hybrid approach for the optimization of differential equation models of gene networks. IEEE Trans Evol Comput 12(5):572–590CrossRef
35.
37.
Zurück zum Zitat Tosun S, Ozturk O, Ozen M (2009) An ILP formulation for application mapping onto network-on-chips. In: International conference on application of information and communication technologies, pp 1–5 Tosun S, Ozturk O, Ozen M (2009) An ILP formulation for application mapping onto network-on-chips. In: International conference on application of information and communication technologies, pp 1–5
38.
Zurück zum Zitat Koziris N, Romesis M, Tsanakas P, Papakonstantinou G (2000) An efficient algorithm for the physical mapping of clustered task graphs onto multiprocessor architectures. In: Euromicro workshop on parallel and distributed processing, pp 406–413 Koziris N, Romesis M, Tsanakas P, Papakonstantinou G (2000) An efficient algorithm for the physical mapping of clustered task graphs onto multiprocessor architectures. In: Euromicro workshop on parallel and distributed processing, pp 406–413
39.
Zurück zum Zitat Tavanpour M, Khademzadeh A, Janidarmian M (2009) chain-mapping for mesh based network-on-chip architecture. IEICE Electron Express 6(22):1535–1541CrossRef Tavanpour M, Khademzadeh A, Janidarmian M (2009) chain-mapping for mesh based network-on-chip architecture. IEICE Electron Express 6(22):1535–1541CrossRef
40.
Zurück zum Zitat Janidarmian M, Khademzadeh A, Tavanpour M (2009) Onyx: a new heuristic bandwidth-constrained mapping of cores onto tile-based network on chip. IEICE Electron Express 6(1):1–7CrossRef Janidarmian M, Khademzadeh A, Tavanpour M (2009) Onyx: a new heuristic bandwidth-constrained mapping of cores onto tile-based network on chip. IEICE Electron Express 6(1):1–7CrossRef
41.
Zurück zum Zitat Srinivasan K, Chatha KS, Konjevod G (2004) Linear programming based techniques for synthesis of network-on-chip architectures. IEEE Trans Very Large Scale Integr Syst 14(4):407–420CrossRef Srinivasan K, Chatha KS, Konjevod G (2004) Linear programming based techniques for synthesis of network-on-chip architectures. IEEE Trans Very Large Scale Integr Syst 14(4):407–420CrossRef
Metadaten
Titel
A novel heuristic algorithm for IP block mapping onto mesh-based networks-on-chip
verfasst von
Xinyu Wang
Haikuo Liu
Zhigang Yu
Publikationsdatum
01.05.2016
Verlag
Springer US
Erschienen in
The Journal of Supercomputing / Ausgabe 5/2016
Print ISSN: 0920-8542
Elektronische ISSN: 1573-0484
DOI
https://doi.org/10.1007/s11227-016-1719-6

Weitere Artikel der Ausgabe 5/2016

The Journal of Supercomputing 5/2016 Zur Ausgabe