Skip to main content
Erschienen in: Computing 12/2023

21.07.2023 | Regular Paper

A novel technique for flit traversal in network-on-chip router

verfasst von: Monika Katta, T. K. Ramesh, Juha Plosila

Erschienen in: Computing | Ausgabe 12/2023

Einloggen

Aktivieren Sie unsere intelligente Suche, um passende Fachinhalte oder Patente zu finden.

search-config
loading …

Abstract

With booming intricacy in applications, optimizing latency is a key requirement in Network-on-Chip (NoC). Bypassing the routers in the intermediate path, the Single Cycle Multi-Hop Asynchronous Repeated Traversal (SMART) NoC is offered as a solution. However, SMART requires a bypass arrangement, contributing to additional wires and stages in the pipeline. In this paper, the Turn-to-west-first technique is used to establish express bypass channels where all the requests for bypass are jointly sent with the flits. The techniques including adaptive routing, combined wormhole switching, and virtual cut-through have been integrated into the router design. The mathematical modeling is done to calculate the wire overhead for the proposed design. Based on these considerations, a novel router architecture is designed to allow the flits to traverse both in one or two-dimensional paths without latching in any bypass router. The presented design has been compared with the baseline router and other popular bypass methods for the average packet latency and wire overhead. Both the synthetic traffic and specific traces of realistic traffic taken from the PARSEC benchmark suite have been considered for evaluation of the proposed design. In comparison to SMART NoC around 85% of the additional wires are reduced and over 40.51% reduction in latency is observed. The latency-optimized NoC is suitable to be used for high-speed applications.

Sie haben noch keine Lizenz? Dann Informieren Sie sich jetzt über unsere Produkte:

Springer Professional "Wirtschaft"

Online-Abonnement

Mit Springer Professional "Wirtschaft" erhalten Sie Zugriff auf:

  • über 67.000 Bücher
  • über 340 Zeitschriften

aus folgenden Fachgebieten:

  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Finance + Banking
  • Management + Führung
  • Marketing + Vertrieb
  • Versicherung + Risiko




Jetzt Wissensvorsprung sichern!

Springer Professional "Technik"

Online-Abonnement

Mit Springer Professional "Technik" erhalten Sie Zugriff auf:

  • über 67.000 Bücher
  • über 390 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Maschinenbau + Werkstoffe




 

Jetzt Wissensvorsprung sichern!

Springer Professional "Wirtschaft+Technik"

Online-Abonnement

Mit Springer Professional "Wirtschaft+Technik" erhalten Sie Zugriff auf:

  • über 102.000 Bücher
  • über 537 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Finance + Banking
  • Management + Führung
  • Marketing + Vertrieb
  • Maschinenbau + Werkstoffe
  • Versicherung + Risiko

Jetzt Wissensvorsprung sichern!

Literatur
3.
Zurück zum Zitat Jung DC, Davidson S, Zhao C, Richmond D, Taylor M and Ruche B (2020) Networks: wire-maximal, no-fuss NoCs: special session paper. In: Proceedings of the 2020 14th IEEE/ACM international symposium on networks-on-chip (NOCS), Hamburg, Germany, pp 1–8 Jung DC, Davidson S, Zhao C, Richmond D, Taylor M and Ruche B (2020) Networks: wire-maximal, no-fuss NoCs: special session paper. In: Proceedings of the 2020 14th IEEE/ACM international symposium on networks-on-chip (NOCS), Hamburg, Germany, pp 1–8
6.
Zurück zum Zitat Li Y, Wang K, Gu H, Yang Y, Su N, Chen Y, Zhang H (2018) A joint optimization method for NoC topology generation. J Supercomput 74(7):2916–2934CrossRef Li Y, Wang K, Gu H, Yang Y, Su N, Chen Y, Zhang H (2018) A joint optimization method for NoC topology generation. J Supercomput 74(7):2916–2934CrossRef
8.
Zurück zum Zitat Passas G, Katevenis M, Pnevmatikatos D (2012) Crossbar NoCs are scalable beyond 100 nodes. IEEE Trans Comput Aided Des Integr Circuits Syst 31:573–585CrossRef Passas G, Katevenis M, Pnevmatikatos D (2012) Crossbar NoCs are scalable beyond 100 nodes. IEEE Trans Comput Aided Des Integr Circuits Syst 31:573–585CrossRef
13.
Zurück zum Zitat Bao DL, Xiaoming X, Yizong Y, Jiuru R, Xiangshi F et al (2017) A virtual channel allocation algorithm for NoC. ICST Trans Amb Syst 4:153307CrossRef Bao DL, Xiaoming X, Yizong Y, Jiuru R, Xiangshi F et al (2017) A virtual channel allocation algorithm for NoC. ICST Trans Amb Syst 4:153307CrossRef
17.
Zurück zum Zitat Chang Y-Y, Huang YS-C, Poremba M, Narayanan V, Xie Y, King C (2013) TS-router: on maximizing the quality-of-allocation in the on chip network. In: Proceedings of IEEE 19th International symposium on high performance computer architecture (HPCA), pp 390–399 Chang Y-Y, Huang YS-C, Poremba M, Narayanan V, Xie Y, King C (2013) TS-router: on maximizing the quality-of-allocation in the on chip network. In: Proceedings of IEEE 19th International symposium on high performance computer architecture (HPCA), pp 390–399
18.
Zurück zum Zitat Vinodhini M, Murty NS, Ramesh TK (2020) Transient error correction coding scheme for reliable low power data link layer in NoC. IEEE Access 8:174614–174628CrossRef Vinodhini M, Murty NS, Ramesh TK (2020) Transient error correction coding scheme for reliable low power data link layer in NoC. IEEE Access 8:174614–174628CrossRef
22.
Zurück zum Zitat Katta M, Ramesh T K (2021) Maximizing switch allocation matching to reduce latency in network-on-chip. In: IEEE PhD colloquium on ethically driven innovation & technology for society (PhD EDITS), pp 1–2 Katta M, Ramesh T K (2021) Maximizing switch allocation matching to reduce latency in network-on-chip. In: IEEE PhD colloquium on ethically driven innovation & technology for society (PhD EDITS), pp 1–2
23.
Zurück zum Zitat Katta M, Ramesh TK (2020) Virtual channel and switch traversal in parallel to improve the latency in Network on Chip. In: 2nd PhD colloquium on ethically driven innovation and technology for society (PhD EDITS), pp 1–2 Katta M, Ramesh TK (2020) Virtual channel and switch traversal in parallel to improve the latency in Network on Chip. In: 2nd PhD colloquium on ethically driven innovation and technology for society (PhD EDITS), pp 1–2
24.
Zurück zum Zitat Katta M, Ramesh TK (2021) Latency improvement by using fill VC allocation for network on chip. In: Data engineering and communication technology, pp 561–569 Katta M, Ramesh TK (2021) Latency improvement by using fill VC allocation for network on chip. In: Data engineering and communication technology, pp 561–569
26.
Zurück zum Zitat Kumar A, Peh L, Kundu P, Jha NK (2008) Toward ideal on-chip communication using express virtual channels. IEEE Micro 28:80–90CrossRef Kumar A, Peh L, Kundu P, Jha NK (2008) Toward ideal on-chip communication using express virtual channels. IEEE Micro 28:80–90CrossRef
27.
Zurück zum Zitat Perez I, Vallejo E, Beivide R (2018) Efficient router bypass via hybrid flow control. In: Proceedings of the 2018 11th international workshop on network on chip architectures (NoCArc), Fukuoka, Japan, pp 1–6 Perez I, Vallejo E, Beivide R (2018) Efficient router bypass via hybrid flow control. In: Proceedings of the 2018 11th international workshop on network on chip architectures (NoCArc), Fukuoka, Japan, pp 1–6
28.
Zurück zum Zitat Krishna T, Chen CO, Kwon WC, Peh L (2013) Breaking the on-chip latency barrier using SMART. In: Proceedings of the 2013 IEEE 19th international symposium on high performance computer architecture (HPCA), Shenzhen, China, pp 378–389 Krishna T, Chen CO, Kwon WC, Peh L (2013) Breaking the on-chip latency barrier using SMART. In: Proceedings of the 2013 IEEE 19th international symposium on high performance computer architecture (HPCA), Shenzhen, China, pp 378–389
29.
Zurück zum Zitat Krishna T, Chen CO, Kwon WC, Peh L (2014) Smart: single-cycle multihop traversals over a shared network on chip. IEEE Micro 34:43–56CrossRef Krishna T, Chen CO, Kwon WC, Peh L (2014) Smart: single-cycle multihop traversals over a shared network on chip. IEEE Micro 34:43–56CrossRef
30.
Zurück zum Zitat Psarras A, Seitanidis I, Nicopoulos C, Dimitrakopoulos G (2016) ShortPath: a network-on-chip router with fine-grained pipeline bypassing. IEEE Trans Comput Psarras A, Seitanidis I, Nicopoulos C, Dimitrakopoulos G (2016) ShortPath: a network-on-chip router with fine-grained pipeline bypassing. IEEE Trans Comput
31.
Zurück zum Zitat Psarras A, Lee J, Mattheakis P, Nicopoulos C, Dimitrakopoulos G (2016) A low-power network-on-chip architecture for tile-based chip multi-processors. In: ACM great lakes symposium on VLSI (GLSVLSI) Psarras A, Lee J, Mattheakis P, Nicopoulos C, Dimitrakopoulos G (2016) A low-power network-on-chip architecture for tile-based chip multi-processors. In: ACM great lakes symposium on VLSI (GLSVLSI)
32.
Zurück zum Zitat Chen X, Jha NK (2016) Reducing wire and energy overheads of the SMART NoC using a setup request network. IEEE Trans Very Large Scale Integr VLSI Syst 24:3013–3026CrossRef Chen X, Jha NK (2016) Reducing wire and energy overheads of the SMART NoC using a setup request network. IEEE Trans Very Large Scale Integr VLSI Syst 24:3013–3026CrossRef
33.
Zurück zum Zitat Park S, Krishna T, Chen C, Daya B, Chandrakasan A, Peh L (2012) Approaching the theoretical limits of a mesh NoC with a 16-node chip prototype in 45 nm SOI. In: Proceedings of the DAC design automation conference, San Francisco, CA, USA, pp 398–405 Park S, Krishna T, Chen C, Daya B, Chandrakasan A, Peh L (2012) Approaching the theoretical limits of a mesh NoC with a 16-node chip prototype in 45 nm SOI. In: Proceedings of the DAC design automation conference, San Francisco, CA, USA, pp 398–405
34.
Zurück zum Zitat Krishna T, Postman J, Edmonds C, Peh L, Chiang P (2010) SWIFT: a SWing-reduced interconnect for a token-based network-on-chip in 90nm CMOS. In: Proceedings of the 2010 IEEE international conference on computer design, Amsterdam, The Netherlands, pp 439–446 Krishna T, Postman J, Edmonds C, Peh L, Chiang P (2010) SWIFT: a SWing-reduced interconnect for a token-based network-on-chip in 90nm CMOS. In: Proceedings of the 2010 IEEE international conference on computer design, Amsterdam, The Netherlands, pp 439–446
36.
Zurück zum Zitat Agarwal N, Krishna T, Peh L, Jha NK (2009) A detailed on-chip network model inside a full-system simulator. In: Proceedings of the 2009 IEEE international symposium on performance analysis of systems and software, Boston, MA, USA, pp 33-42 Agarwal N, Krishna T, Peh L, Jha NK (2009) A detailed on-chip network model inside a full-system simulator. In: Proceedings of the 2009 IEEE international symposium on performance analysis of systems and software, Boston, MA, USA, pp 33-42
37.
Zurück zum Zitat Gebhart M, Hestness J, Fatehi E, Gratz P, Keckler SW (2009) Running PARSEC 2.1 on M5. Department of Computer Science, University of Texas Austin, Austin, TX, USA, Technical Report TR-09-32 Gebhart M, Hestness J, Fatehi E, Gratz P, Keckler SW (2009) Running PARSEC 2.1 on M5. Department of Computer Science, University of Texas Austin, Austin, TX, USA, Technical Report TR-09-32
Metadaten
Titel
A novel technique for flit traversal in network-on-chip router
verfasst von
Monika Katta
T. K. Ramesh
Juha Plosila
Publikationsdatum
21.07.2023
Verlag
Springer Vienna
Erschienen in
Computing / Ausgabe 12/2023
Print ISSN: 0010-485X
Elektronische ISSN: 1436-5057
DOI
https://doi.org/10.1007/s00607-023-01200-x

Weitere Artikel der Ausgabe 12/2023

Computing 12/2023 Zur Ausgabe

Premium Partner