Skip to main content
Erschienen in: Wireless Personal Communications 1/2021

15.01.2021

A Survey of Thermal Management in Cloud Data Centre: Techniques and Open Issues

verfasst von: Rama Rani, Ritu Garg

Erschienen in: Wireless Personal Communications | Ausgabe 1/2021

Einloggen

Aktivieren Sie unsere intelligente Suche, um passende Fachinhalte oder Patente zu finden.

search-config
loading …

Abstract

In recent years, there has been a great increase in usage of cloud data centers which leads the energy consumption growth by about 10% a year continuously. Further, due to the increase in temperature of cloud data center, the hardware failure rate increases and maintenance cost is also increased. Hotspots and an irregular temperature distribution are the major research issues. Therefore, accurate and reliable thermal management of the data center is a challenging task. In order to select appropriate and efficient thermal management approach, this survey presents a state of art review on the development in this field, discusses the classification of thermal management approaches and thermal mapping models. This review also reveals the thermal management and heat management strategies at data center level to make the data centre more energy efficient. Further, it discusses various thermal aware task scheduling strategies. In thermal management, computing equipments are scheduled with the objective to minimize the hotspot and cooling cost. Finally, evaluation metrics for measuring thermal efficiency and open research issues in this field are provided. Both researchers and academicians find this review useful since it presents the significant research in the field of thermal management.

Sie haben noch keine Lizenz? Dann Informieren Sie sich jetzt über unsere Produkte:

Springer Professional "Technik"

Online-Abonnement

Mit Springer Professional "Technik" erhalten Sie Zugriff auf:

  • über 67.000 Bücher
  • über 390 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Maschinenbau + Werkstoffe




 

Jetzt Wissensvorsprung sichern!

Springer Professional "Wirtschaft+Technik"

Online-Abonnement

Mit Springer Professional "Wirtschaft+Technik" erhalten Sie Zugriff auf:

  • über 102.000 Bücher
  • über 537 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Finance + Banking
  • Management + Führung
  • Marketing + Vertrieb
  • Maschinenbau + Werkstoffe
  • Versicherung + Risiko

Jetzt Wissensvorsprung sichern!

Springer Professional "Wirtschaft"

Online-Abonnement

Mit Springer Professional "Wirtschaft" erhalten Sie Zugriff auf:

  • über 67.000 Bücher
  • über 340 Zeitschriften

aus folgenden Fachgebieten:

  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Finance + Banking
  • Management + Führung
  • Marketing + Vertrieb
  • Versicherung + Risiko




Jetzt Wissensvorsprung sichern!

Literatur
1.
Zurück zum Zitat Qian, L., Luo, Z., Du, Y., & Guo, L. (2009). Cloud computing: An overview. In IEEE international conference on cloud computing (pp. 626–631). Springer, Berlin. Qian, L., Luo, Z., Du, Y., & Guo, L. (2009). Cloud computing: An overview. In IEEE international conference on cloud computing (pp. 626–631). Springer, Berlin.
3.
Zurück zum Zitat JoSEP, A. D., & KAtz, R., KonWinSKi, A., Gunho, L. E. E., PAttERSon, D., & RABKin, A. . (2010). A view of cloud computing. Communications of the ACM, 53(4), 50–58. JoSEP, A. D., & KAtz, R., KonWinSKi, A., Gunho, L. E. E., PAttERSon, D., & RABKin, A. . (2010). A view of cloud computing. Communications of the ACM, 53(4), 50–58.
4.
Zurück zum Zitat Cloud Computing—The Business Perspective-02-09-02.pdf. Cloud Computing—The Business Perspective-02-09-02.pdf.
6.
Zurück zum Zitat Gartner report, financial times, 2007. Gartner report, financial times, 2007.
7.
Zurück zum Zitat Kaplan, J. Forrest, W., & Kindler, N. (2008). Revolutionizing data center energy efficiency. Technical Report (p. 15), McKinsey Company. Kaplan, J. Forrest, W., & Kindler, N. (2008). Revolutionizing data center energy efficiency. Technical Report (p. 15), McKinsey Company.
9.
Zurück zum Zitat Barroso, L. A., & Hölzle, U. (2007). The case for energy-proportional computing. Computer, 40(12), 33–37. Barroso, L. A., & Hölzle, U. (2007). The case for energy-proportional computing. Computer, 40(12), 33–37.
10.
Zurück zum Zitat Liu, J., Zhao, F., Liu, X., & He, W. (2009). Challenges towards elastic power management in internet data centers. In 2009 29th ieee international conference on distributed computing systems workshops (pp. 65–72). IEEE. Liu, J., Zhao, F., Liu, X., & He, W. (2009). Challenges towards elastic power management in internet data centers. In 2009 29th ieee international conference on distributed computing systems workshops (pp. 65–72). IEEE.
11.
Zurück zum Zitat Moore, J. D., Chase, J. S., Ranganathan, P., & Sharma, R. K. (2005). Making scheduling “cool”: temperature-aware workload placement in data centers. In USENIX annual technical conference, general track (pp. 61–75). Moore, J. D., Chase, J. S., Ranganathan, P., & Sharma, R. K. (2005). Making scheduling “cool”: temperature-aware workload placement in data centers. In USENIX annual technical conference, general track (pp. 61–75).
12.
Zurück zum Zitat Donald, J., & Martonosi, M. (2006). Techniques for multicore thermal management: Classification and new exploration. ACM SIGARCH Computer Architecture News, 34(2), 78–88. Donald, J., & Martonosi, M. (2006). Techniques for multicore thermal management: Classification and new exploration. ACM SIGARCH Computer Architecture News, 34(2), 78–88.
13.
Zurück zum Zitat Wang, L., Khan, S. U., & Dayal, J. (2012). Thermal aware workload placement with task-temperature profiles in a data center. The Journal of Supercomputing, 61(3), 780–803. Wang, L., Khan, S. U., & Dayal, J. (2012). Thermal aware workload placement with task-temperature profiles in a data center. The Journal of Supercomputing, 61(3), 780–803.
14.
Zurück zum Zitat Kong, J., Chung, S. W., & Skadron, K. (2012). Recent thermal management techniques for microprocessors. ACM Computing Surveys (CSUR), 44(3), 1–42. Kong, J., Chung, S. W., & Skadron, K. (2012). Recent thermal management techniques for microprocessors. ACM Computing Surveys (CSUR), 44(3), 1–42.
15.
Zurück zum Zitat Sharma, Y., Javadi, B., Si, W., & Sun, D. (2016). Reliability and energy efficiency in cloud computing systems: Survey and taxonomy. Journal of Network and Computer Applications, 74, 66–85. Sharma, Y., Javadi, B., Si, W., & Sun, D. (2016). Reliability and energy efficiency in cloud computing systems: Survey and taxonomy. Journal of Network and Computer Applications, 74, 66–85.
16.
Zurück zum Zitat Lee, E. K., Kulkarni, I., Pompili, D., & Parashar, M. (2012). Proactive thermal management in green datacenters. The Journal of Supercomputing, 60(2), 165–195. Lee, E. K., Kulkarni, I., Pompili, D., & Parashar, M. (2012). Proactive thermal management in green datacenters. The Journal of Supercomputing, 60(2), 165–195.
17.
Zurück zum Zitat Sheikh, H. F., Ahmad, I., Wang, Z., & Ranka, S. (2012). An overview and classification of thermal-aware scheduling techniques for multi-core processing systems. Sustainable Computing: Informatics and Systems, 2(3), 151–169. Sheikh, H. F., Ahmad, I., Wang, Z., & Ranka, S. (2012). An overview and classification of thermal-aware scheduling techniques for multi-core processing systems. Sustainable Computing: Informatics and Systems, 2(3), 151–169.
18.
Zurück zum Zitat Arghode, V. K., Kang, T., Joshi, Y., Phelps, W., & Michaels, M. (2017). Measurement of air flow rate through perforated floor tiles in a raised floor data center. Journal of Electronic Packaging, 139(1), 011007-1-011007–8. Arghode, V. K., Kang, T., Joshi, Y., Phelps, W., & Michaels, M. (2017). Measurement of air flow rate through perforated floor tiles in a raised floor data center. Journal of Electronic Packaging, 139(1), 011007-1-011007–8.
19.
Zurück zum Zitat Masdari, M., Nabavi, S. S., & Ahmadi, V. (2016). An overview of virtual machine placement schemes in cloud computing. Journal of Network and Computer Applications, 66, 106–127. Masdari, M., Nabavi, S. S., & Ahmadi, V. (2016). An overview of virtual machine placement schemes in cloud computing. Journal of Network and Computer Applications, 66, 106–127.
20.
Zurück zum Zitat Singh, A. K., Shafique, M., Kumar, A., & Henkel, J. (2013). Mapping on multi/many-core systems: Survey of current and emerging trends. In 2013 50th ACM/EDAC/IEEE design automation conference (DAC) (pp. 1–10). IEEE. Singh, A. K., Shafique, M., Kumar, A., & Henkel, J. (2013). Mapping on multi/many-core systems: Survey of current and emerging trends. In 2013 50th ACM/EDAC/IEEE design automation conference (DAC) (pp. 1–10). IEEE.
21.
Zurück zum Zitat Lee, E. K., Viswanathan, H., & Pompili, D. (2015). Proactive thermal-aware resource management in virtualized HPC cloud datacenters. IEEE Transactions on Cloud Computing, 5(2), 234–248. Lee, E. K., Viswanathan, H., & Pompili, D. (2015). Proactive thermal-aware resource management in virtualized HPC cloud datacenters. IEEE Transactions on Cloud Computing, 5(2), 234–248.
22.
Zurück zum Zitat Bobroff, N., Kochut, A., & Beaty, K. (2007). Dynamic placement of virtual machines for managing SLA violations. In 2007 10th IFIP/IEEE international symposium on integrated network management (pp. 119–128). IEEE. Bobroff, N., Kochut, A., & Beaty, K. (2007). Dynamic placement of virtual machines for managing SLA violations. In 2007 10th IFIP/IEEE international symposium on integrated network management (pp. 119–128). IEEE.
23.
Zurück zum Zitat Beloglazov, A., & Buyya, R. (2010). Energy efficient resource management in virtualized cloud data centers. In 2010 10th IEEE/ACM international conference on cluster, cloud and grid computing (pp. 826–831). IEEE. Beloglazov, A., & Buyya, R. (2010). Energy efficient resource management in virtualized cloud data centers. In 2010 10th IEEE/ACM international conference on cluster, cloud and grid computing (pp. 826–831). IEEE.
24.
Zurück zum Zitat Beloglazov, A., & Buyya, R. (2012). Managing overloaded hosts for dynamic consolidation of virtual machines in cloud data centers under quality of service constraints. IEEE Transactions on Parallel and Distributed Systems, 24(7), 1366–1379. Beloglazov, A., & Buyya, R. (2012). Managing overloaded hosts for dynamic consolidation of virtual machines in cloud data centers under quality of service constraints. IEEE Transactions on Parallel and Distributed Systems, 24(7), 1366–1379.
25.
Zurück zum Zitat Beloglazov, A., & Buyya, R. (2010). Adaptive threshold-based approach for energy-efficient consolidation of virtual machines in cloud data centers. MGC @ Middleware, 4, 1890799–1890803. Beloglazov, A., & Buyya, R. (2010). Adaptive threshold-based approach for energy-efficient consolidation of virtual machines in cloud data centers. MGC @ Middleware, 4, 1890799–1890803.
26.
Zurück zum Zitat Song, W., Xiao, Z., Chen, Q., & Luo, H. (2013). Adaptive resource provisioning for the cloud using online bin packing. IEEE Transactions on Computers, 63(11), 2647–2660.MathSciNetMATH Song, W., Xiao, Z., Chen, Q., & Luo, H. (2013). Adaptive resource provisioning for the cloud using online bin packing. IEEE Transactions on Computers, 63(11), 2647–2660.MathSciNetMATH
27.
Zurück zum Zitat Song, Y., Sun, Y., Wang, H., & Song, X. (2007). An adaptive resource flowing scheme amongst VMs in a VM-based utility computing. In 7th IEEE international conference on computer and information technology (CIT 2007) (pp. 1053–1058). IEEE. Song, Y., Sun, Y., Wang, H., & Song, X. (2007). An adaptive resource flowing scheme amongst VMs in a VM-based utility computing. In 7th IEEE international conference on computer and information technology (CIT 2007) (pp. 1053–1058). IEEE.
28.
Zurück zum Zitat Rodero, I., Viswanathan, H., Lee, E. K., Gamell, M., Pompili, D., & Parashar, M. (2012). Energy-efficient thermal-aware autonomic management of virtualized HPC cloud infrastructure. Journal of Grid Computing, 10(3), 447–473. Rodero, I., Viswanathan, H., Lee, E. K., Gamell, M., Pompili, D., & Parashar, M. (2012). Energy-efficient thermal-aware autonomic management of virtualized HPC cloud infrastructure. Journal of Grid Computing, 10(3), 447–473.
29.
Zurück zum Zitat Tang, Q., Gupta, S. K., & Varsamopoulos, G. (2007). Thermal-aware task scheduling for data centers through minimizing heat recirculation. In 2007 ieee international conference on cluster computing (pp. 129–138). IEEE. Tang, Q., Gupta, S. K., & Varsamopoulos, G. (2007). Thermal-aware task scheduling for data centers through minimizing heat recirculation. In 2007 ieee international conference on cluster computing (pp. 129–138). IEEE.
30.
Zurück zum Zitat Huang, W., Allen-Ware, M., Carter, J. B., Elnozahy, E., Hamann, H., Keller, T., et al. (2011). TAPO: Thermal-aware power optimization techniques for servers and data centers. In 2011 International green computing conference and workshops (pp. 1–8). IEEE. Huang, W., Allen-Ware, M., Carter, J. B., Elnozahy, E., Hamann, H., Keller, T., et al. (2011). TAPO: Thermal-aware power optimization techniques for servers and data centers. In 2011 International green computing conference and workshops (pp. 1–8). IEEE.
31.
Zurück zum Zitat Zhu, H., Wang, J., Song, M., & Fang, Q. (2015). Thermal-aware load provisioning for server clusters by using model predictive control. In 2015 ieee conference on control applications (CCA) (pp. 336–340). IEEE. Zhu, H., Wang, J., Song, M., & Fang, Q. (2015). Thermal-aware load provisioning for server clusters by using model predictive control. In 2015 ieee conference on control applications (CCA) (pp. 336–340). IEEE.
32.
Zurück zum Zitat Tang, Q., Mukherjee, T., Gupta, S. K., & Cayton, P. (2006). Sensor-based fast thermal evaluation model for energy efficient high-performance datacenters. In 2006 Fourth international conference on intelligent sensing and information processing (pp. 203–208). IEEE. Tang, Q., Mukherjee, T., Gupta, S. K., & Cayton, P. (2006). Sensor-based fast thermal evaluation model for energy efficient high-performance datacenters. In 2006 Fourth international conference on intelligent sensing and information processing (pp. 203–208). IEEE.
33.
Zurück zum Zitat Bash, C., & Forman, G. (2007). Cool job allocation: Measuring the power savings of placing jobs at cooling-efficient locations in the data center. In USENIX annual technical conference (vol. 138, p. 140). Bash, C., & Forman, G. (2007). Cool job allocation: Measuring the power savings of placing jobs at cooling-efficient locations in the data center. In USENIX annual technical conference (vol. 138, p. 140).
34.
Zurück zum Zitat Sun, G., Liao, D., Anand, V., Zhao, D., & Yu, H. (2016). A new technique for efficient live migration of multiple virtual machines. Future Generation Computer Systems, 55, 74–86. Sun, G., Liao, D., Anand, V., Zhao, D., & Yu, H. (2016). A new technique for efficient live migration of multiple virtual machines. Future Generation Computer Systems, 55, 74–86.
35.
Zurück zum Zitat Sarker, T. K., & Tang, M. (2013). Performance-driven live migration of multiple virtual machines in datacenters. In 2013 IEEE international conference on granular computing (GrC) (pp. 253–258). IEEE. Sarker, T. K., & Tang, M. (2013). Performance-driven live migration of multiple virtual machines in datacenters. In 2013 IEEE international conference on granular computing (GrC) (pp. 253–258). IEEE.
36.
Zurück zum Zitat Liu, H., Jin, H., Xu, C. Z., & Liao, X. (2013). Performance and energy modeling for live migration of virtual machines. Cluster Computing, 16(2), 249–264. Liu, H., Jin, H., Xu, C. Z., & Liao, X. (2013). Performance and energy modeling for live migration of virtual machines. Cluster Computing, 16(2), 249–264.
37.
Zurück zum Zitat Goudarzi, H., Ghasemazar, M., & Pedram, M. (2012). SLA-based optimization of power and migration cost in cloud computing. In 2012 12th IEEE/ACM international symposium on cluster, cloud and grid computing (CCGRID 2012) (pp. 172–179). IEEE. Goudarzi, H., Ghasemazar, M., & Pedram, M. (2012). SLA-based optimization of power and migration cost in cloud computing. In 2012 12th IEEE/ACM international symposium on cluster, cloud and grid computing (CCGRID 2012) (pp. 172–179). IEEE.
38.
Zurück zum Zitat Callegati, F., & Cerroni, W. (2013). Live migration of virtualized edge networks: Analytical modeling and performance evaluation. In 2013 IEEE SDN for future networks and services (SDN4FNS) (pp. 1–6). IEEE. Callegati, F., & Cerroni, W. (2013). Live migration of virtualized edge networks: Analytical modeling and performance evaluation. In 2013 IEEE SDN for future networks and services (SDN4FNS) (pp. 1–6). IEEE.
39.
Zurück zum Zitat Zhang, W., Lam, K. T., & Wang, C. L. (2014). Adaptive live VM migration over a wan: Modeling and implementation. In 2014 IEEE 7th international conference on cloud computing (pp. 368–375). IEEE. Zhang, W., Lam, K. T., & Wang, C. L. (2014). Adaptive live VM migration over a wan: Modeling and implementation. In 2014 IEEE 7th international conference on cloud computing (pp. 368–375). IEEE.
40.
Zurück zum Zitat Clark, C., Fraser, K., Hand, S., Hansen, J. G., Jul, E., Limpach, C., et al. (2005). Live migration of virtual machines. In Proceedings of the 2nd conference on symposium on networked systems design and implementation (vol. 2, pp. 273–286). Clark, C., Fraser, K., Hand, S., Hansen, J. G., Jul, E., Limpach, C., et al. (2005). Live migration of virtual machines. In Proceedings of the 2nd conference on symposium on networked systems design and implementation (vol. 2, pp. 273–286).
41.
Zurück zum Zitat Jin, H., Deng, L., Wu, S., Shi, X., & Pan, X. (2009). Live virtual machine migration with adaptive, memory compression. In 2009 ieee international conference on cluster computing and workshops (pp. 1–10). IEEE. Jin, H., Deng, L., Wu, S., Shi, X., & Pan, X. (2009). Live virtual machine migration with adaptive, memory compression. In 2009 ieee international conference on cluster computing and workshops (pp. 1–10). IEEE.
42.
Zurück zum Zitat Rao, L., Liu, X., Xie, L., & Liu, W. (2010). Minimizing electricity cost: optimization of distributed internet data centers in a multi-electricity-market environment. In 2010 Proceedings IEEE INFOCOM (pp. 1–9). IEEE. Rao, L., Liu, X., Xie, L., & Liu, W. (2010). Minimizing electricity cost: optimization of distributed internet data centers in a multi-electricity-market environment. In 2010 Proceedings IEEE INFOCOM (pp. 1–9). IEEE.
43.
Zurück zum Zitat Qureshi, A., Weber, R., Balakrishnan, H., Guttag, J., & Maggs, B. (2009). Cutting the electric bill for internet-scale systems. In Proceedings of the ACM SIGCOMM 2009 conference on data communication (pp. 123–134). Qureshi, A., Weber, R., Balakrishnan, H., Guttag, J., & Maggs, B. (2009). Cutting the electric bill for internet-scale systems. In Proceedings of the ACM SIGCOMM 2009 conference on data communication (pp. 123–134).
44.
Zurück zum Zitat Fang, Q., Wang, J., Gong, Q., & Song, M. (2017). Thermal-aware energy management of an HPC data center via two-time-scale control. IEEE Transactions on Industrial Informatics, 13(5), 2260–2269. Fang, Q., Wang, J., Gong, Q., & Song, M. (2017). Thermal-aware energy management of an HPC data center via two-time-scale control. IEEE Transactions on Industrial Informatics, 13(5), 2260–2269.
45.
Zurück zum Zitat Ranganathan, P., Leech, P., Irwin, D., & Chase, J. (2006). Ensemble-level power management for dense blade servers. ACM SIGARCH Computer Architecture News, 34(2), 66–77. Ranganathan, P., Leech, P., Irwin, D., & Chase, J. (2006). Ensemble-level power management for dense blade servers. ACM SIGARCH Computer Architecture News, 34(2), 66–77.
46.
Zurück zum Zitat Von Laszewski, G., Wang, L., Younge, A. J., & He, X. (2009). Power-aware scheduling of virtual machines in DVFS-enabled clusters. In 2009 IEEE international conference on cluster computing and workshops (pp. 1–10). IEEE. Von Laszewski, G., Wang, L., Younge, A. J., & He, X. (2009). Power-aware scheduling of virtual machines in DVFS-enabled clusters. In 2009 IEEE international conference on cluster computing and workshops (pp. 1–10). IEEE.
47.
Zurück zum Zitat Meisner, Q. D. D., Bhattacharjee, A., Wenisch, T. F., & Bianchini, R. (2012). MultiScale: memory system DVFS with multiple memory controllers. Meisner, Q. D. D., Bhattacharjee, A., Wenisch, T. F., & Bianchini, R. (2012). MultiScale: memory system DVFS with multiple memory controllers.
48.
Zurück zum Zitat Lee, S., & Kim, J. (2010). Using dynamic voltage scaling for energy-efficient flash-based storage devices. In 2010 international SoC design conference (pp. 63–66). IEEE. Lee, S., & Kim, J. (2010). Using dynamic voltage scaling for energy-efficient flash-based storage devices. In 2010 international SoC design conference (pp. 63–66). IEEE.
49.
Zurück zum Zitat Xia, H., & Zhang, Y. (2013). A dynamic temperature controlling method for processors in constrained sealed spaces. Journal of Computers, 8(12), 3066–3072. Xia, H., & Zhang, Y. (2013). A dynamic temperature controlling method for processors in constrained sealed spaces. Journal of Computers, 8(12), 3066–3072.
50.
Zurück zum Zitat Steinder, M., Whalley, I., Carrera, D., Gaweda, I., & Chess, D. (2007). Server virtualization in autonomic management of heterogeneous workloads. In 2007 10th IFIP/IEEE international symposium on integrated network management (pp. 139–148). IEEE. Steinder, M., Whalley, I., Carrera, D., Gaweda, I., & Chess, D. (2007). Server virtualization in autonomic management of heterogeneous workloads. In 2007 10th IFIP/IEEE international symposium on integrated network management (pp. 139–148). IEEE.
51.
Zurück zum Zitat Tang, Q., Gupta, S. K. S., & Varsamopoulos, G. (2008). Energy-efficient thermal-aware task scheduling for homogeneous high-performance computing data centers: A cyber-physical approach. IEEE Transactions on Parallel and Distributed Systems, 19(11), 1458–1472. Tang, Q., Gupta, S. K. S., & Varsamopoulos, G. (2008). Energy-efficient thermal-aware task scheduling for homogeneous high-performance computing data centers: A cyber-physical approach. IEEE Transactions on Parallel and Distributed Systems, 19(11), 1458–1472.
52.
Zurück zum Zitat Mukherjee, T., Banerjee, A., Varsamopoulos, G., Gupta, S. K., & Rungta, S. (2009). Spatio-temporal thermal-aware job scheduling to minimize energy consumption in virtualized heterogeneous data centers. Computer Networks, 53(17), 2888–2904.MATH Mukherjee, T., Banerjee, A., Varsamopoulos, G., Gupta, S. K., & Rungta, S. (2009). Spatio-temporal thermal-aware job scheduling to minimize energy consumption in virtualized heterogeneous data centers. Computer Networks, 53(17), 2888–2904.MATH
53.
Zurück zum Zitat Choi, J., Kim, Y., Sivasubramaniam, A., Srebric, J., Wang, Q., & Lee, J. (2008). A CFD-based tool for studying temperature in rack-mounted servers. IEEE Transactions on Computers, 57(8), 1129–1142.MathSciNetMATH Choi, J., Kim, Y., Sivasubramaniam, A., Srebric, J., Wang, Q., & Lee, J. (2008). A CFD-based tool for studying temperature in rack-mounted servers. IEEE Transactions on Computers, 57(8), 1129–1142.MathSciNetMATH
54.
Zurück zum Zitat Moore, J., Chase, J. S., & Ranganathan, P. (2006). Weatherman: Automated, online and predictive thermal mapping and management for data centers. In 2006 IEEE international conference on autonomic computing (pp. 155–164). IEEE. Moore, J., Chase, J. S., & Ranganathan, P. (2006). Weatherman: Automated, online and predictive thermal mapping and management for data centers. In 2006 IEEE international conference on autonomic computing (pp. 155–164). IEEE.
55.
Zurück zum Zitat Zong, Z., Manzanares, A., Ruan, X., & Qin, X. (2010). EAD and PEBD: Two energy-aware duplication scheduling algorithms for parallel tasks on homogeneous clusters. IEEE Transactions on Computers, 60(3), 360–374.MathSciNetMATH Zong, Z., Manzanares, A., Ruan, X., & Qin, X. (2010). EAD and PEBD: Two energy-aware duplication scheduling algorithms for parallel tasks on homogeneous clusters. IEEE Transactions on Computers, 60(3), 360–374.MathSciNetMATH
56.
Zurück zum Zitat Garg, R., & Singh, A. K. (2016). Energy-aware workflow scheduling in grid under QoS constraints. Arabian Journal for Science and Engineering, 41(2), 495–511. Garg, R., & Singh, A. K. (2016). Energy-aware workflow scheduling in grid under QoS constraints. Arabian Journal for Science and Engineering, 41(2), 495–511.
57.
Zurück zum Zitat Wu, C. M., Chang, R. S., & Chan, H. Y. (2014). A green energy-efficient scheduling algorithm using the DVFS technique for cloud datacenters. Future Generation Computer Systems, 37, 141–147. Wu, C. M., Chang, R. S., & Chan, H. Y. (2014). A green energy-efficient scheduling algorithm using the DVFS technique for cloud datacenters. Future Generation Computer Systems, 37, 141–147.
58.
Zurück zum Zitat Garg, S. K., Yeo, C. S., Anandasivam, A., & Buyya, R. (2011). Environment-conscious scheduling of HPC applications on distributed cloud-oriented data centers. Journal of Parallel and Distributed Computing, 71(6), 732–749.MATH Garg, S. K., Yeo, C. S., Anandasivam, A., & Buyya, R. (2011). Environment-conscious scheduling of HPC applications on distributed cloud-oriented data centers. Journal of Parallel and Distributed Computing, 71(6), 732–749.MATH
59.
Zurück zum Zitat Beloglazov, A., Abawajy, J., & Buyya, R. (2012). Energy-aware resource allocation heuristics for efficient management of data centers for cloud computing. Future Generation Computer Systems, 28(5), 755–768. Beloglazov, A., Abawajy, J., & Buyya, R. (2012). Energy-aware resource allocation heuristics for efficient management of data centers for cloud computing. Future Generation Computer Systems, 28(5), 755–768.
60.
Zurück zum Zitat Lee, Y. C., & Zomaya, A. Y. (2012). Energy efficient utilization of resources in cloud computing systems. The Journal of Supercomputing, 60(2), 268–280. Lee, Y. C., & Zomaya, A. Y. (2012). Energy efficient utilization of resources in cloud computing systems. The Journal of Supercomputing, 60(2), 268–280.
61.
Zurück zum Zitat Ma, K., Li, X., Chen, W., Zhang, C., & Wang, X. (2012). Greengpu: A holistic approach to energy efficiency in GPU–CPU heterogeneous architectures. In 2012 41st international conference on parallel processing (pp. 48–57). IEEE. Ma, K., Li, X., Chen, W., Zhang, C., & Wang, X. (2012). Greengpu: A holistic approach to energy efficiency in GPU–CPU heterogeneous architectures. In 2012 41st international conference on parallel processing (pp. 48–57). IEEE.
62.
Zurück zum Zitat Sharkh, M. A., & Shami, A. (2017). An evergreen cloud: Optimizing energy efficiency in heterogeneous cloud computing architectures. Vehicular Communications, 9, 199–210. Sharkh, M. A., & Shami, A. (2017). An evergreen cloud: Optimizing energy efficiency in heterogeneous cloud computing architectures. Vehicular Communications, 9, 199–210.
63.
Zurück zum Zitat Ding, Y., Qin, X., Liu, L., & Wang, T. (2015). Energy efficient scheduling of virtual machines in cloud with deadline constraint. Future Generation Computer Systems, 50, 62–74. Ding, Y., Qin, X., Liu, L., & Wang, T. (2015). Energy efficient scheduling of virtual machines in cloud with deadline constraint. Future Generation Computer Systems, 50, 62–74.
64.
Zurück zum Zitat Goh, L. K., Veeravalli, B., & Viswanathan, S. (2008). Design of fast and efficient energy-aware gradient-based scheduling algorithms heterogeneous embedded multiprocessor systems. IEEE Transactions on Parallel and Distributed Systems, 20(1), 1–12. Goh, L. K., Veeravalli, B., & Viswanathan, S. (2008). Design of fast and efficient energy-aware gradient-based scheduling algorithms heterogeneous embedded multiprocessor systems. IEEE Transactions on Parallel and Distributed Systems, 20(1), 1–12.
65.
Zurück zum Zitat Garg, R., & Mittal, M. (2019). Reliability and energy efficient workflow scheduling in cloud environment. Cluster Computing, 22(4), 1283–1297. Garg, R., & Mittal, M. (2019). Reliability and energy efficient workflow scheduling in cloud environment. Cluster Computing, 22(4), 1283–1297.
66.
Zurück zum Zitat Maurya, A. K., Modi, K., Kumar, V., Naik, N. S., & Tripathi, A. K. (2020). Energy-aware scheduling using slack reclamation for cluster systems. Cluster Computing, 23(2), 911–923. Maurya, A. K., Modi, K., Kumar, V., Naik, N. S., & Tripathi, A. K. (2020). Energy-aware scheduling using slack reclamation for cluster systems. Cluster Computing, 23(2), 911–923.
67.
Zurück zum Zitat Mishra, A., & Khare, N. (2015). Analysis of DVFS techniques for improving the gpu energy efficiency. Open Journal of Energy Efficiency, 4(04), 77. Mishra, A., & Khare, N. (2015). Analysis of DVFS techniques for improving the gpu energy efficiency. Open Journal of Energy Efficiency, 4(04), 77.
68.
Zurück zum Zitat Mochocki, B., Hu, X. S., & Quan, G. (2005). Practical on-line DVS scheduling for fixed-priority real-time systems. In 11th IEEE real time and embedded technology and applications symposium (pp. 224–233). IEEE. Mochocki, B., Hu, X. S., & Quan, G. (2005). Practical on-line DVS scheduling for fixed-priority real-time systems. In 11th IEEE real time and embedded technology and applications symposium (pp. 224–233). IEEE.
69.
Zurück zum Zitat Zhuo, J., & Chakrabarti, C. (2005). System-level energy-efficient dynamic task scheduling. In Proceedings of the 42nd annual design automation conference (pp. 628–631). Zhuo, J., & Chakrabarti, C. (2005). System-level energy-efficient dynamic task scheduling. In Proceedings of the 42nd annual design automation conference (pp. 628–631).
70.
Zurück zum Zitat Chen, J. J., Kuo, T. W., & Shih, C. S. (2005). 1 + ε approximation clock rate assignment for periodic real-time tasks on a voltage-scaling processor. In Proceedings of the 5th ACM international conference on embedded software (pp. 247–250). Chen, J. J., Kuo, T. W., & Shih, C. S. (2005). 1 + ε approximation clock rate assignment for periodic real-time tasks on a voltage-scaling processor. In Proceedings of the 5th ACM international conference on embedded software (pp. 247–250).
71.
Zurück zum Zitat Xie, F., Martonosi, M., & Malik, S. (2005). Bounds on power savings using runtime dynamic voltage scaling: An exact algorithm and a linear-time heuristic approximation. In Proceedings of the 2005 international symposium on low power electronics and design (pp. 287–292). Xie, F., Martonosi, M., & Malik, S. (2005). Bounds on power savings using runtime dynamic voltage scaling: An exact algorithm and a linear-time heuristic approximation. In Proceedings of the 2005 international symposium on low power electronics and design (pp. 287–292).
72.
Zurück zum Zitat Zhong, X., & Xu, C. Z. (2008). System-wide energy minimization for real-time tasks: Lower bound and approximation. ACM Transactions on Embedded Computing Systems (TECS), 7(3), 1–24. Zhong, X., & Xu, C. Z. (2008). System-wide energy minimization for real-time tasks: Lower bound and approximation. ACM Transactions on Embedded Computing Systems (TECS), 7(3), 1–24.
73.
Zurück zum Zitat Qin, Y., Zeng, G., Kurachi, R., Li, Y., Matsubara, Y., & Takada, H. (2019). Energy-efficient intra-task dvfs scheduling using linear programming formulation. IEEE Access, 7, 30536–30547. Qin, Y., Zeng, G., Kurachi, R., Li, Y., Matsubara, Y., & Takada, H. (2019). Energy-efficient intra-task dvfs scheduling using linear programming formulation. IEEE Access, 7, 30536–30547.
74.
Zurück zum Zitat Yeo, I., Liu, C. C., & Kim, E. J. (2008). Predictive dynamic thermal management for multicore systems. In Proceedings of the 45th annual design automation conference (pp. 734–739). Yeo, I., Liu, C. C., & Kim, E. J. (2008). Predictive dynamic thermal management for multicore systems. In Proceedings of the 45th annual design automation conference (pp. 734–739).
75.
Zurück zum Zitat Dhiman, G., & Rosing, T. S. (2006). Dynamic power management using machine learning. In Proceedings of the 2006 IEEE/ACM international conference on computer-aided design (pp. 747–754). Dhiman, G., & Rosing, T. S. (2006). Dynamic power management using machine learning. In Proceedings of the 2006 IEEE/ACM international conference on computer-aided design (pp. 747–754).
76.
Zurück zum Zitat Guo, Z., Bhuiyan, A., Saifullah, A., Guan, N., & Xiong, H. (2017). Energy-efficient multi-core scheduling for real-time DAG tasks. In 29th Euromicro conference on real-time systems (ECRTS 2017). Guo, Z., Bhuiyan, A., Saifullah, A., Guan, N., & Xiong, H. (2017). Energy-efficient multi-core scheduling for real-time DAG tasks. In 29th Euromicro conference on real-time systems (ECRTS 2017).
77.
Zurück zum Zitat Varsamopoulos, G., Banerjee, A., & Gupta, S. K. (2009). Energy efficiency of thermal-aware job scheduling algorithms under various cooling models. In International conference on contemporary computing (pp. 568–580). Springer, Berlin. Varsamopoulos, G., Banerjee, A., & Gupta, S. K. (2009). Energy efficiency of thermal-aware job scheduling algorithms under various cooling models. In International conference on contemporary computing (pp. 568–580). Springer, Berlin.
78.
Zurück zum Zitat Bash, C. B., Patel, C. D., & Sharma, R. K. (2006). Dynamic thermal management of air cooled data centers. In Thermal and thermomechanical proceedings 10th intersociety conference on phenomena in electronics systems, 2006. ITHERM 2006 (p. 8). IEEE. Bash, C. B., Patel, C. D., & Sharma, R. K. (2006). Dynamic thermal management of air cooled data centers. In Thermal and thermomechanical proceedings 10th intersociety conference on phenomena in electronics systems, 2006. ITHERM 2006 (p. 8). IEEE.
79.
Zurück zum Zitat Wang, L., Von Laszewski, G., Dayal, J., He, X., Younge, A. J., & Furlani, T. R. (2009). Towards thermal aware workload scheduling in a data center. In 2009 10th international symposium on pervasive systems, algorithms, and networks (pp. 116–122). IEEE. Wang, L., Von Laszewski, G., Dayal, J., He, X., Younge, A. J., & Furlani, T. R. (2009). Towards thermal aware workload scheduling in a data center. In 2009 10th international symposium on pervasive systems, algorithms, and networks (pp. 116–122). IEEE.
80.
Zurück zum Zitat Wang, L., von Laszewski, G., Dayal, J., & Furlani, T. R. (2009). Thermal aware workload scheduling with backfilling for green data centers. In 2009 IEEE 28th international performance computing and communications conference (pp. 289–296). IEEE. Wang, L., von Laszewski, G., Dayal, J., & Furlani, T. R. (2009). Thermal aware workload scheduling with backfilling for green data centers. In 2009 IEEE 28th international performance computing and communications conference (pp. 289–296). IEEE.
81.
Zurück zum Zitat Garg, R., & Rani, R. (2019). State-of-the-art energy-efficient thermal-aware scheduling in cloud. In Information and communication technology for competitive strategies (pp. 157–164). Springer, Singapore. Garg, R., & Rani, R. (2019). State-of-the-art energy-efficient thermal-aware scheduling in cloud. In Information and communication technology for competitive strategies (pp. 157–164). Springer, Singapore.
82.
Zurück zum Zitat Parolini, L., Sinopoli, B., Krogh, B. H., & Wang, Z. (2011). A cyber–physical systems approach to data center modeling and control for energy efficiency. Proceedings of the IEEE, 100(1), 254–268. Parolini, L., Sinopoli, B., Krogh, B. H., & Wang, Z. (2011). A cyber–physical systems approach to data center modeling and control for energy efficiency. Proceedings of the IEEE, 100(1), 254–268.
83.
Zurück zum Zitat Ma, Y., Chantem, T., Dick, R. P., & Hu, X. S. (2017). Improving system-level lifetime reliability of multicore soft real-time systems. IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 25(6), 1895–1905. Ma, Y., Chantem, T., Dick, R. P., & Hu, X. S. (2017). Improving system-level lifetime reliability of multicore soft real-time systems. IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 25(6), 1895–1905.
84.
Zurück zum Zitat Abdi, A., & Zarandi, H. R. (2018). HYSTERY: A hybrid scheduling and mapping approach to optimize temperature, energy consumption and lifetime reliability of heterogeneous multiprocessor systems. The Journal of Supercomputing, 74(5), 2213–2238. Abdi, A., & Zarandi, H. R. (2018). HYSTERY: A hybrid scheduling and mapping approach to optimize temperature, energy consumption and lifetime reliability of heterogeneous multiprocessor systems. The Journal of Supercomputing, 74(5), 2213–2238.
85.
Zurück zum Zitat Huang, L., Yuan, F., & Xu, Q. (2009). Lifetime reliability-aware task allocation and scheduling for MPSoC platforms. In 2009 design, Automation and test in Europe conference and exhibition (pp. 51–56). IEEE. Huang, L., Yuan, F., & Xu, Q. (2009). Lifetime reliability-aware task allocation and scheduling for MPSoC platforms. In 2009 design, Automation and test in Europe conference and exhibition (pp. 51–56). IEEE.
86.
Zurück zum Zitat Oxley, M. A., Jonardi, E., Pasricha, S., Maciejewski, A. A., Siegel, H. J., Burns, P. J., & Koenig, G. A. (2018). Rate-based thermal, power, and co-location aware resource management for heterogeneous data centers. Journal of Parallel and Distributed Computing, 112, 126–139. Oxley, M. A., Jonardi, E., Pasricha, S., Maciejewski, A. A., Siegel, H. J., Burns, P. J., & Koenig, G. A. (2018). Rate-based thermal, power, and co-location aware resource management for heterogeneous data centers. Journal of Parallel and Distributed Computing, 112, 126–139.
87.
Zurück zum Zitat Stansberry, M. (2013). 2013 Data Center Industry Survey. Uptime Inst. Data Cent. Ind. Survey (pp. 1–28 Stansberry, M. (2013). 2013 Data Center Industry Survey. Uptime Inst. Data Cent. Ind. Survey (pp. 1–28
88.
Zurück zum Zitat Li, S., Abdelzaher, T., & Yuan, M. (2011). Tapa: Temperature aware power allocation in data center with map-reduce. In 2011 international green computing conference and workshops (pp. 1–8). IEEE. Li, S., Abdelzaher, T., & Yuan, M. (2011). Tapa: Temperature aware power allocation in data center with map-reduce. In 2011 international green computing conference and workshops (pp. 1–8). IEEE.
89.
Zurück zum Zitat Merkel, A., Bellosa, F., & Weissel, A. (2005). Event-driven thermal management in SMP systems. In Second workshop on temperature-aware computer systems (TACS’05). Merkel, A., Bellosa, F., & Weissel, A. (2005). Event-driven thermal management in SMP systems. In Second workshop on temperature-aware computer systems (TACS’05).
90.
Zurück zum Zitat Kumar, A., Shang, L., Peh, L. S., & Jha, N. K. (2006). HybDTM: A coordinated hardware–software approach for dynamic thermal management. In 2006 43rd ACM/IEEE design automation conference (pp. 548–553). IEEE. Kumar, A., Shang, L., Peh, L. S., & Jha, N. K. (2006). HybDTM: A coordinated hardware–software approach for dynamic thermal management. In 2006 43rd ACM/IEEE design automation conference (pp. 548–553). IEEE.
91.
Zurück zum Zitat Naveh, A., Rotem, E., Mendelson, A., Gochman, S., Chabukswar, R., Krishnan, K., & Kumar, A. (2006). Power and thermal management in the intel core duo processor. Intel Technology Journal, 10(2), 109. Naveh, A., Rotem, E., Mendelson, A., Gochman, S., Chabukswar, R., Krishnan, K., & Kumar, A. (2006). Power and thermal management in the intel core duo processor. Intel Technology Journal, 10(2), 109.
92.
Zurück zum Zitat Coskun, A. K., Rosing, T. S., & Gross, K. C. (2008). Temperature management in multiprocessor SoCs using online learning. In 2008 45th ACM/IEEE design automation conference (pp. 890–893). IEEE. Coskun, A. K., Rosing, T. S., & Gross, K. C. (2008). Temperature management in multiprocessor SoCs using online learning. In 2008 45th ACM/IEEE design automation conference (pp. 890–893). IEEE.
93.
Zurück zum Zitat Taneja, S., Zhou, Y., Alghamdi, M. I., & Qin, X. (2017). Thermal-aware job scheduling of mapreduce applications on high performance clusters. In 2017 46th International conference on parallel processing workshops (ICPPW) (pp. 261–270). IEEE. Taneja, S., Zhou, Y., Alghamdi, M. I., & Qin, X. (2017). Thermal-aware job scheduling of mapreduce applications on high performance clusters. In 2017 46th International conference on parallel processing workshops (ICPPW) (pp. 261–270). IEEE.
94.
Zurück zum Zitat Liu, H., Liu, B., Yang, L. T., Lin, M., Deng, Y., Bilal, K., & Khan, S. U. (2017). Thermal-aware and DVFS-enabled big data task scheduling for data centers. IEEE Transactions on Big Data, 4(2), 177–190. Liu, H., Liu, B., Yang, L. T., Lin, M., Deng, Y., Bilal, K., & Khan, S. U. (2017). Thermal-aware and DVFS-enabled big data task scheduling for data centers. IEEE Transactions on Big Data, 4(2), 177–190.
95.
Zurück zum Zitat Zhou, J., & Wei, T. (2015). Stochastic thermal-aware real-time task scheduling with considerations of soft errors. Journal of Systems and Software, 102, 123–133. Zhou, J., & Wei, T. (2015). Stochastic thermal-aware real-time task scheduling with considerations of soft errors. Journal of Systems and Software, 102, 123–133.
96.
Zurück zum Zitat Cao, K., Zhou, J., Yin, M., Wei, T., & Chen, M. (2016). Static thermal-aware task assignment and scheduling for makespan minimization in heterogeneous real-time MPSoCs. In 2016 International symposium on system and software reliability (ISSSR) (pp. 111–118). IEEE. Cao, K., Zhou, J., Yin, M., Wei, T., & Chen, M. (2016). Static thermal-aware task assignment and scheduling for makespan minimization in heterogeneous real-time MPSoCs. In 2016 International symposium on system and software reliability (ISSSR) (pp. 111–118). IEEE.
97.
Zurück zum Zitat Marcel, A., Cristian, P., Eugen, P., Claudia, P., Cioara, T., Anghel, I., & Ioan, S. (2016). Thermal aware workload consolidation in cloud data centers. In 2016 IEEE 12th international conference on intelligent computer communication and processing (ICCP) (pp. 377–384). IEEE. Marcel, A., Cristian, P., Eugen, P., Claudia, P., Cioara, T., Anghel, I., & Ioan, S. (2016). Thermal aware workload consolidation in cloud data centers. In 2016 IEEE 12th international conference on intelligent computer communication and processing (ICCP) (pp. 377–384). IEEE.
98.
Zurück zum Zitat Al-Qawasmeh, A. M., Pasricha, S., Maciejewski, A. A., & Siegel, H. J. (2013). Power and thermal-aware workload allocation in heterogeneous data centers. IEEE Transactions on Computers, 64(2), 477–491.MathSciNetMATH Al-Qawasmeh, A. M., Pasricha, S., Maciejewski, A. A., & Siegel, H. J. (2013). Power and thermal-aware workload allocation in heterogeneous data centers. IEEE Transactions on Computers, 64(2), 477–491.MathSciNetMATH
99.
Zurück zum Zitat Cupertino, L., Da Costa, G., Oleksiak, A., Pia, W., Pierson, J. M., Salom, J., et al. (2015). Energy-efficient, thermal-aware modeling and simulation of data centers: the CoolEmAll approach and evaluation results. Ad Hoc Networks, 25, 535–553. Cupertino, L., Da Costa, G., Oleksiak, A., Pia, W., Pierson, J. M., Salom, J., et al. (2015). Energy-efficient, thermal-aware modeling and simulation of data centers: the CoolEmAll approach and evaluation results. Ad Hoc Networks, 25, 535–553.
100.
Zurück zum Zitat Polverini, M., Cianfrani, A., Ren, S., & Vasilakos, A. V. (2013). Thermal-aware scheduling of batch jobs in geographically distributed data centers. IEEE Transactions on Cloud Computing, 2(1), 71–84. Polverini, M., Cianfrani, A., Ren, S., & Vasilakos, A. V. (2013). Thermal-aware scheduling of batch jobs in geographically distributed data centers. IEEE Transactions on Cloud Computing, 2(1), 71–84.
101.
Zurück zum Zitat Shamalizadeh, H., Almeida, L., Wan, S., Amaral, P., Fu, S., & Prabh, S. (2013). Optimized thermal-aware workload distribution considering allocation constraints in data centers. In 2013 IEEE international conference on green computing and communications and ieee internet of things and IEEE cyber, physical and social computing (pp. 208–214). IEEE. Shamalizadeh, H., Almeida, L., Wan, S., Amaral, P., Fu, S., & Prabh, S. (2013). Optimized thermal-aware workload distribution considering allocation constraints in data centers. In 2013 IEEE international conference on green computing and communications and ieee internet of things and IEEE cyber, physical and social computing (pp. 208–214). IEEE.
102.
Zurück zum Zitat Zhou, J., Cao, K., Cong, P., Wei, T., Chen, M., Zhang, G., et al. (2017). Reliability and temperature constrained task scheduling for makespan minimization on heterogeneous multi-core platforms. Journal of Systems and Software, 133, 1–16. Zhou, J., Cao, K., Cong, P., Wei, T., Chen, M., Zhang, G., et al. (2017). Reliability and temperature constrained task scheduling for makespan minimization on heterogeneous multi-core platforms. Journal of Systems and Software, 133, 1–16.
103.
Zurück zum Zitat Zhou, J., Wei, T., Chen, M., Yan, J., Hu, X. S., & Ma, Y. (2015). Thermal-aware task scheduling for energy minimization in heterogeneous real-time MPSoC systems. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 35(8), 1269–1282. Zhou, J., Wei, T., Chen, M., Yan, J., Hu, X. S., & Ma, Y. (2015). Thermal-aware task scheduling for energy minimization in heterogeneous real-time MPSoC systems. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 35(8), 1269–1282.
104.
Zurück zum Zitat Tyagi, S. K. S., Jain, D. K., Fernandes, S. L., & Muhuri, P. K. (2017). Thermal-aware power-efficient deadline based task allocation in multi-core processor. Journal of Computational Science, 19, 112–120. Tyagi, S. K. S., Jain, D. K., Fernandes, S. L., & Muhuri, P. K. (2017). Thermal-aware power-efficient deadline based task allocation in multi-core processor. Journal of Computational Science, 19, 112–120.
105.
Zurück zum Zitat Rani, R., & Garg, R. (2020). Power and temperature-aware workflow scheduling considering deadline constraint in cloud. Arabian Journal for Science and Engineering, 45, 10775–10791. Rani, R., & Garg, R. (2020). Power and temperature-aware workflow scheduling considering deadline constraint in cloud. Arabian Journal for Science and Engineering, 45, 10775–10791.
106.
Zurück zum Zitat Fu, L., Wan, J., Liu, T., Gui, X., & Zhang, R. (2017). A temperature-aware resource management algorithm for holistic energy minimization in data centers. In 2017 2nd workshop on recent trends in telecommunications research (RTTR) (pp. 1–5). IEEE. Fu, L., Wan, J., Liu, T., Gui, X., & Zhang, R. (2017). A temperature-aware resource management algorithm for holistic energy minimization in data centers. In 2017 2nd workshop on recent trends in telecommunications research (RTTR) (pp. 1–5). IEEE.
107.
Zurück zum Zitat Akbari, A., Khonsari, A., & Ghoreyshi, S. M. (2020). Thermal-aware virtual machine allocation for heterogeneous cloud data centers. Energies, 13(11), 2880. Akbari, A., Khonsari, A., & Ghoreyshi, S. M. (2020). Thermal-aware virtual machine allocation for heterogeneous cloud data centers. Energies, 13(11), 2880.
108.
Zurück zum Zitat Salami, B., Baharani, M., & Noori, H. (2014). Proactive task migration with a self-adjusting migration threshold for dynamic thermal management of multi-core processors. The Journal of Supercomputing, 68(3), 1068–1087. Salami, B., Baharani, M., & Noori, H. (2014). Proactive task migration with a self-adjusting migration threshold for dynamic thermal management of multi-core processors. The Journal of Supercomputing, 68(3), 1068–1087.
109.
Zurück zum Zitat Herrlin, M. K. (2008). Airflow and cooling performance of data centers: Two performance metrics. ASHRAE Transactions, 114(2), 182–187. Herrlin, M. K. (2008). Airflow and cooling performance of data centers: Two performance metrics. ASHRAE Transactions, 114(2), 182–187.
110.
Zurück zum Zitat Sharma, R., Bash, C., & Patel, C. (2002). Dimensionless parameters for evaluation of thermal design and performance of large-scale data centers. In 8th AIAA/ASME Joint thermophysics and heat transfer conference (p. 3091) Sharma, R., Bash, C., & Patel, C. (2002). Dimensionless parameters for evaluation of thermal design and performance of large-scale data centers. In 8th AIAA/ASME Joint thermophysics and heat transfer conference (p. 3091)
Metadaten
Titel
A Survey of Thermal Management in Cloud Data Centre: Techniques and Open Issues
verfasst von
Rama Rani
Ritu Garg
Publikationsdatum
15.01.2021
Verlag
Springer US
Erschienen in
Wireless Personal Communications / Ausgabe 1/2021
Print ISSN: 0929-6212
Elektronische ISSN: 1572-834X
DOI
https://doi.org/10.1007/s11277-020-08039-x

Weitere Artikel der Ausgabe 1/2021

Wireless Personal Communications 1/2021 Zur Ausgabe

Neuer Inhalt