Skip to main content

2011 | OriginalPaper | Buchkapitel

4. Asynchronous Communications for NoCs

verfasst von : Stanislavs Golubcovs, Alex Yakovlev

Erschienen in: Low Power Networks-on-Chip

Verlag: Springer US

Aktivieren Sie unsere intelligente Suche, um passende Fachinhalte oder Patente zu finden.

search-config
loading …

Abstract

Technology scaling beyond 90 nm drastically complicates the chip design process. Greater demand for higher performance and more functionality placed on a single chip, while maintaining power consumption at a reasonable level drives research towards new architectural and communication paradigms that support topological scaling. Network-on-Chip is seen as one such paradigm, but its inherently massive parallelism and distribution of switching activity naturally lead to a much wider spectrum of techniques used for system timing. The use of global clocking becomes very difficult for improving power and performance while at the same time keeping acceptable levels of robustness to faults, both fabrication and run time, as well as to the increasing parametric variability of components.
Systems based on NoCs are thus becoming more diverse in terms of timing, and if not fully asynchronous, then mixed, e.g. globally asynchronous and locally synchronous. The notion of timing and synchronization is pervasive in system communication architectures and affects all layers of hierarchy, but its biggest effect is probably at the link layer, where the notion of data validity in communication channels between processing nodes and network routers is paramount. This chapter provides an overview of the various asynchronous techniques that are used in such links, including signalling schemes, data encoding and synchronization solutions. Those are discussed with a view of comparison in terms of area, power and performance. The fundamental issues of the formation of data tokens based on the principles of data validity, acknowledgement, delay-insensitivity, timing assumptions and soft-error tolerance are considered. The chapter also covers some of the aspects related to combining asynchronous communication links to form parts of the entire network architecture, which involves asynchronous logic for arbitration and routing hardware. To this end, we also present basic techniques for building small-scale controllers using the formal models of petri nets and signal transition graphs.

Sie haben noch keine Lizenz? Dann Informieren Sie sich jetzt über unsere Produkte:

Springer Professional "Wirtschaft+Technik"

Online-Abonnement

Mit Springer Professional "Wirtschaft+Technik" erhalten Sie Zugriff auf:

  • über 102.000 Bücher
  • über 537 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Finance + Banking
  • Management + Führung
  • Marketing + Vertrieb
  • Maschinenbau + Werkstoffe
  • Versicherung + Risiko

Jetzt Wissensvorsprung sichern!

Springer Professional "Technik"

Online-Abonnement

Mit Springer Professional "Technik" erhalten Sie Zugriff auf:

  • über 67.000 Bücher
  • über 390 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Maschinenbau + Werkstoffe




 

Jetzt Wissensvorsprung sichern!

Springer Professional "Wirtschaft"

Online-Abonnement

Mit Springer Professional "Wirtschaft" erhalten Sie Zugriff auf:

  • über 67.000 Bücher
  • über 340 Zeitschriften

aus folgenden Fachgebieten:

  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Finance + Banking
  • Management + Führung
  • Marketing + Vertrieb
  • Versicherung + Risiko




Jetzt Wissensvorsprung sichern!

Literatur
1.
Zurück zum Zitat Agarwal, A., Iskander, C., Shankar, R.: Survey of network on chip (NoC) Architectures & Contributions. J. Eng. Comput. Archit. 3(1) (2009) Agarwal, A., Iskander, C., Shankar, R.: Survey of network on chip (NoC) Architectures & Contributions. J. Eng. Comput. Archit. 3(1) (2009)
3.
Zurück zum Zitat Bainbridge, J., Furber, S.: CHAIN: A delay-insensitive chip area interconnect. IEEE Micro 22, 16–23 (2002)CrossRef Bainbridge, J., Furber, S.: CHAIN: A delay-insensitive chip area interconnect. IEEE Micro 22, 16–23 (2002)CrossRef
4.
Zurück zum Zitat Bainbridge, W.J., Toms, W.B., Edwards, D.A., Furber, S.B.: Delay-insensitive, point-to-point interconnect using m-of-n codes. In: Proc. Ninth International Symposium on Asynchronous Circuits and Systems, pp. 132–140 (2003) Bainbridge, W.J., Toms, W.B., Edwards, D.A., Furber, S.B.: Delay-insensitive, point-to-point interconnect using m-of-n codes. In: Proc. Ninth International Symposium on Asynchronous Circuits and Systems, pp. 132–140 (2003)
5.
Zurück zum Zitat Bardsley, A.: Implementing Balsa handshake circuits. Ph.D. thesis, Department of Computer Science, University of Manchester (2000) Bardsley, A.: Implementing Balsa handshake circuits. Ph.D. thesis, Department of Computer Science, University of Manchester (2000)
6.
Zurück zum Zitat Bjerregaard, T., Mahadevan, S.: A survey of research and practices of Network-on-Chip. ACM Comput. Surv. 38(1), 1 (2006)CrossRef Bjerregaard, T., Mahadevan, S.: A survey of research and practices of Network-on-Chip. ACM Comput. Surv. 38(1), 1 (2006)CrossRef
7.
Zurück zum Zitat Bystrov, A., Kinniment, D.J., Yakovlev, A.: Priority arbiters. In: ASYNC ’00: Proceedings of the 6th International Symposium on Advanced Research in Asynchronous Circuits and Systems, pp. 128–137. IEEE Computer Society, Washington, DC, USA (2000) Bystrov, A., Kinniment, D.J., Yakovlev, A.: Priority arbiters. In: ASYNC ’00: Proceedings of the 6th International Symposium on Advanced Research in Asynchronous Circuits and Systems, pp. 128–137. IEEE Computer Society, Washington, DC, USA (2000)
8.
Zurück zum Zitat Chapiro, D.: Globally asynchronous locally synchronous systems. Ph.D. thesis, Stanford University (1984) Chapiro, D.: Globally asynchronous locally synchronous systems. Ph.D. thesis, Stanford University (1984)
9.
Zurück zum Zitat Chelcea, T., Nowick, S.M.: Robust interfaces for mixed-timing systems. IEEE Trans. VLSI Syst. 12(8), 857–873 (2004)CrossRef Chelcea, T., Nowick, S.M.: Robust interfaces for mixed-timing systems. IEEE Trans. VLSI Syst. 12(8), 857–873 (2004)CrossRef
10.
Zurück zum Zitat Cortadella, J., Kishinevsky, M., Kondratyev, A., Lavagno, L., Yakovlev, A.: Logic synthesis of asynchronous controllers and interfaces. Springer, Berlin, ISBN: 3-540-43152-7 (2002) Cortadella, J., Kishinevsky, M., Kondratyev, A., Lavagno, L., Yakovlev, A.: Logic synthesis of asynchronous controllers and interfaces. Springer, Berlin, ISBN: 3-540-43152-7 (2002)
11.
Zurück zum Zitat D’Alessandro, C., Shang, D., Bystrov, A.V., Yakovlev, A., Maevsky, O.V.: Multiple-rail phase-encoding for NoC. In: Proc. International Symposium on Advanced Research in Asynchronous Circuits and Systems, pp. 107–116 (2006) D’Alessandro, C., Shang, D., Bystrov, A.V., Yakovlev, A., Maevsky, O.V.: Multiple-rail phase-encoding for NoC. In: Proc. International Symposium on Advanced Research in Asynchronous Circuits and Systems, pp. 107–116 (2006)
12.
Zurück zum Zitat Dally, W.J., Seitz, C.L.: Deadlock free message routing in multiprocessor interconnection networks. IEEE Trans Comput C-36(5), 547–553 (1987) Dally, W.J., Seitz, C.L.: Deadlock free message routing in multiprocessor interconnection networks. IEEE Trans Comput C-36(5), 547–553 (1987)
13.
Zurück zum Zitat Dean, M., Williams, T., Dill, D.: Efficient self-timing with level-encoded 2-phase dual-rail (LEDR). In: C.H. Séquin (ed.) Advanced Research in VLSI, pp. 55–70. MIT Press, Cambridge (1991) Dean, M., Williams, T., Dill, D.: Efficient self-timing with level-encoded 2-phase dual-rail (LEDR). In: C.H. Séquin (ed.) Advanced Research in VLSI, pp. 55–70. MIT Press, Cambridge (1991)
14.
Zurück zum Zitat Dobkin, R., Perelman, Y., Liran, T., Ginosar, R., Kolodny, A.: High rate wave-pipelined asynchronous on-chip bit-serial data link. In: Asynchronous Circuits and Systems, 2007. ASYNC 2007. 13th IEEE International Symposium on, pp. 3–14 (2007) Dobkin, R., Perelman, Y., Liran, T., Ginosar, R., Kolodny, A.: High rate wave-pipelined asynchronous on-chip bit-serial data link. In: Asynchronous Circuits and Systems, 2007. ASYNC 2007. 13th IEEE International Symposium on, pp. 3–14 (2007)
15.
Zurück zum Zitat Ginosar, R.: Fourteen ways to fool your synchronizer. In: Proc. International Symposium on Advanced Research in Asynchronous Circuits and Systems, pp. 89–96. IEEE Computer Society Press, Washington, DC (2003) Ginosar, R.: Fourteen ways to fool your synchronizer. In: Proc. International Symposium on Advanced Research in Asynchronous Circuits and Systems, pp. 89–96. IEEE Computer Society Press, Washington, DC (2003)
16.
Zurück zum Zitat Ho, R., Gainsley, J., Drost, R.: Long wires and asynchronous control. In: Proc. International Symposium on Advanced Research in Asynchronous Circuits and Systems, pp. 240–249. IEEE Computer Society Press, Washington, DC (2004) Ho, R., Gainsley, J., Drost, R.: Long wires and asynchronous control. In: Proc. International Symposium on Advanced Research in Asynchronous Circuits and Systems, pp. 240–249. IEEE Computer Society Press, Washington, DC (2004)
17.
Zurück zum Zitat Ho, R., Horowitz, M.: Lecture 9: More about wires and wire models. Computer Systems Laboratory (2007) Ho, R., Horowitz, M.: Lecture 9: More about wires and wire models. Computer Systems Laboratory (2007)
19.
Zurück zum Zitat Iyer, A., Marculescu, D.: Power and performance evaluation of globally asynchronous locally synchronous processors. In: ISCA ’02: Proceedings of the 29th annual international symposium on Computer architecture, pp. 158–168. IEEE Computer Society, Washington, DC, USA (2002) Iyer, A., Marculescu, D.: Power and performance evaluation of globally asynchronous locally synchronous processors. In: ISCA ’02: Proceedings of the 29th annual international symposium on Computer architecture, pp. 158–168. IEEE Computer Society, Washington, DC, USA (2002)
20.
Zurück zum Zitat Kameda, Y., Polonsky, S., Maezawa, M., Nanya, T.: Primitive-level pipelining method on delay-insensitive model for RSFQ pulse-driven logic. In: Proceedings of the Fourth International Symposium on Advanced Research in Asynchronous Circuits and Systems, pp. 262–273 (1998) Kameda, Y., Polonsky, S., Maezawa, M., Nanya, T.: Primitive-level pipelining method on delay-insensitive model for RSFQ pulse-driven logic. In: Proceedings of the Fourth International Symposium on Advanced Research in Asynchronous Circuits and Systems, pp. 262–273 (1998)
21.
Zurück zum Zitat Käslin, H.: Digital Integrated Circuit Design: From VLSI Architectures to CMOS Fabrication. Cambridge University Press, Cambridge, ISBN: 978-0-521-88267-5 (2008) Käslin, H.: Digital Integrated Circuit Design: From VLSI Architectures to CMOS Fabrication. Cambridge University Press, Cambridge, ISBN: 978-0-521-88267-5 (2008)
22.
Zurück zum Zitat Keller, R.: Towards a theory of universal speed-independent modules. IEEE Trans Comput C-23(1), 21–33 (1974) Keller, R.: Towards a theory of universal speed-independent modules. IEEE Trans Comput C-23(1), 21–33 (1974)
23.
Zurück zum Zitat Kim, J., Nicopoulos, C., Park, D.: A gracefully degrading and energy-efficient modular router architecture for on-chip networks. SIGARCH Comput. Archit. News 34(2), 4–15 (2006)CrossRef Kim, J., Nicopoulos, C., Park, D.: A gracefully degrading and energy-efficient modular router architecture for on-chip networks. SIGARCH Comput. Archit. News 34(2), 4–15 (2006)CrossRef
24.
Zurück zum Zitat Kinniment, D.J.: Synchronization and Arbitration in Digital Systems. John Wiley & Sons, Ltd (2007)CrossRef Kinniment, D.J.: Synchronization and Arbitration in Digital Systems. John Wiley & Sons, Ltd (2007)CrossRef
25.
Zurück zum Zitat Kinniment, D.J., Edwards, D.: Circuit technology in a large computer system. In: Proceedings of the Conference on Computers–Systems and Technology, pp. 441–450 (1972) Kinniment, D.J., Edwards, D.: Circuit technology in a large computer system. In: Proceedings of the Conference on Computers–Systems and Technology, pp. 441–450 (1972)
26.
Zurück zum Zitat Lin, T., Chong, K.S., Gwee, B.H., Chang, J.S.: Fine-grained power gating for leakage and short-circuit power reduction by using asynchronous-logic. In: IEEE International Symposium on Circuits and Systems, 2009 (ISCAS 2009), pp. 3162–3165 (2009) Lin, T., Chong, K.S., Gwee, B.H., Chang, J.S.: Fine-grained power gating for leakage and short-circuit power reduction by using asynchronous-logic. In: IEEE International Symposium on Circuits and Systems, 2009 (ISCAS 2009), pp. 3162–3165 (2009)
27.
Zurück zum Zitat Liu, Y., Nassif, S., Pileggi, L., Strojwas, A.: Impact of interconnect variations on the clock skew of a gigahertz microprocessor. In: Proceedings of the 37th Conference on Design Automation, 2000. Los Angeles, CA, pp. 168–171 (2000) Liu, Y., Nassif, S., Pileggi, L., Strojwas, A.: Impact of interconnect variations on the clock skew of a gigahertz microprocessor. In: Proceedings of the 37th Conference on Design Automation, 2000. Los Angeles, CA, pp. 168–171 (2000)
28.
Zurück zum Zitat Ludovici, D., Strano, A., Bertozzi, D., Benini, L., Gaydadjiev, G.N.: Comparing tightly and loosely coupled mesochronous synchronizers in a NoC switch architecture. In: 3rd ACM/IEEE International Symposium on Networks on Chip, pp. 244 – 249 (2009) Ludovici, D., Strano, A., Bertozzi, D., Benini, L., Gaydadjiev, G.N.: Comparing tightly and loosely coupled mesochronous synchronizers in a NoC switch architecture. In: 3rd ACM/IEEE International Symposium on Networks on Chip, pp. 244 – 249 (2009)
29.
Zurück zum Zitat Martin, A.J.: Programming in VLSI: From communicating processes to delay-insensitive circuits. In: C.A.R. Hoare (ed.) Developments in Concurrency and Communication, UT Year of Programming Series, pp. 1–64. Addison-Wesley (1990) Martin, A.J.: Programming in VLSI: From communicating processes to delay-insensitive circuits. In: C.A.R. Hoare (ed.) Developments in Concurrency and Communication, UT Year of Programming Series, pp. 1–64. Addison-Wesley (1990)
30.
Zurück zum Zitat McGee, P., Agyekum, M., Mohamed, M., Nowick, S.: A level-encoded transition signaling protocol for high-throughput asynchronous global communication. In: 14th IEEE International Symposium on Asynchronous Circuits and Systems, 2008 (ASYNC ’08), pp. 116–127 (2008) McGee, P., Agyekum, M., Mohamed, M., Nowick, S.: A level-encoded transition signaling protocol for high-throughput asynchronous global communication. In: 14th IEEE International Symposium on Asynchronous Circuits and Systems, 2008 (ASYNC ’08), pp. 116–127 (2008)
31.
Zurück zum Zitat Mir, N.F.: Computer and Communication Networks. Prentice Hall, Englewood Cliffs, NJ (2006) Mir, N.F.: Computer and Communication Networks. Prentice Hall, Englewood Cliffs, NJ (2006)
32.
Zurück zum Zitat Miro Panades, I., Greiner, A.: Bi-synchronous fifo for synchronous circuit communication well suited for network-on-chip in gals architectures. In: NOCS ’07: Proceedings of the First International Symposium on Networks-on-Chip, pp. 83–94. IEEE Computer Society, Washington, DC, USA (2007) Miro Panades, I., Greiner, A.: Bi-synchronous fifo for synchronous circuit communication well suited for network-on-chip in gals architectures. In: NOCS ’07: Proceedings of the First International Symposium on Networks-on-Chip, pp. 83–94. IEEE Computer Society, Washington, DC, USA (2007)
33.
Zurück zum Zitat Mokhov, A., D’Alessandro, C., Yakovlev, A.: Synthesis of multiple rail phase encoding circuits. In: 15th IEEE Symposium on Asynchronous Circuits and Systems, 2009 (ASYNC ’09), pp. 95–104 (2009) Mokhov, A., D’Alessandro, C., Yakovlev, A.: Synthesis of multiple rail phase encoding circuits. In: 15th IEEE Symposium on Asynchronous Circuits and Systems, 2009 (ASYNC ’09), pp. 95–104 (2009)
34.
Zurück zum Zitat Mullins, R., Moore, S.: Demystifying data-driven and pausible clocking schemes. In: ASYNC ’07: Proceedings of the 13th IEEE International Symposium on Asynchronous Circuits and Systems, pp. 175–185. IEEE Computer Society, Washington, DC, USA (2007) Mullins, R., Moore, S.: Demystifying data-driven and pausible clocking schemes. In: ASYNC ’07: Proceedings of the 13th IEEE International Symposium on Asynchronous Circuits and Systems, pp. 175–185. IEEE Computer Society, Washington, DC, USA (2007)
35.
Zurück zum Zitat Murata, T.: Petri nets: Properties, analysis and applications. In: Proceedings of the IEEE, vol. 77, pp. 541–580 (1989)CrossRef Murata, T.: Petri nets: Properties, analysis and applications. In: Proceedings of the IEEE, vol. 77, pp. 541–580 (1989)CrossRef
36.
Zurück zum Zitat Nicolaidis, M.: Time redundancy based soft-error tolerance to rescue nanometer technologies. In: Proceedings of the 17th IEEE VLSI Test Symposium, 1999, pp. 86–94 (1999) Nicolaidis, M.: Time redundancy based soft-error tolerance to rescue nanometer technologies. In: Proceedings of the 17th IEEE VLSI Test Symposium, 1999, pp. 86–94 (1999)
37.
Zurück zum Zitat Nicopoulos, C., Narayanan, V., Das, C.R.: Network-on-Chip Architectures. Springer, Berlin (2009) Nicopoulos, C., Narayanan, V., Das, C.R.: Network-on-Chip Architectures. Springer, Berlin (2009)
38.
Zurück zum Zitat Ogg, S., Al-Hashimi, B., Yakovlev, A.: Asynchronous transient resilient links for NoC. In: CODES/ISSS ’08: Proceedings of the 6th IEEE/ACM/IFIP international conference on Hardware/Software codesign and system synthesis, pp. 209–214. ACM, New York, NY, USA (2008) Ogg, S., Al-Hashimi, B., Yakovlev, A.: Asynchronous transient resilient links for NoC. In: CODES/ISSS ’08: Proceedings of the 6th IEEE/ACM/IFIP international conference on Hardware/Software codesign and system synthesis, pp. 209–214. ACM, New York, NY, USA (2008)
40.
Zurück zum Zitat Pande, P.P., Grecu, C., Jones, M., Ivanov, A., Saleh, R.: Performance evaluation and design trade-offs for network-on-chip interconnect architectures. IEEE Trans Comput 54(8), 1025–1040 (2005)CrossRef Pande, P.P., Grecu, C., Jones, M., Ivanov, A., Saleh, R.: Performance evaluation and design trade-offs for network-on-chip interconnect architectures. IEEE Trans Comput 54(8), 1025–1040 (2005)CrossRef
41.
Zurück zum Zitat Plana, L., Unger, S.: Pulse-mode macromodular systems. In: Proceedings of the International Conference on Computer Design: VLSI in Computers and Processors, 1998 (ICCD ’98), pp. 348–353 (1998) Plana, L., Unger, S.: Pulse-mode macromodular systems. In: Proceedings of the International Conference on Computer Design: VLSI in Computers and Processors, 1998 (ICCD ’98), pp. 348–353 (1998)
42.
Zurück zum Zitat Plana, L.A., Furber, S.B., Temple, S., Khan, M., Shi, Y., Wu, J., Yang, S.: A gals infrastructure for a massively parallel multiprocessor. IEEE Des. Test 24(5), 454–463 (2007)CrossRef Plana, L.A., Furber, S.B., Temple, S., Khan, M., Shi, Y., Wu, J., Yang, S.: A gals infrastructure for a massively parallel multiprocessor. IEEE Des. Test 24(5), 454–463 (2007)CrossRef
43.
Zurück zum Zitat Plummer, W.: Asynchronous arbiters. IEEE Trans Comput C-21(1), 37–42 (1972) Plummer, W.: Asynchronous arbiters. IEEE Trans Comput C-21(1), 37–42 (1972)
44.
Zurück zum Zitat Poliakov, I., Khomenko, V., Yakovlev, A.: Workcraft — a framework for interpreted graph models. In: PETRI NETS’09: Proceedings of the 30th International Conference on Applications and Theory of Petri Nets, pp. 333–342. Springer-Verlag, Berlin, Heidelberg (2009) Poliakov, I., Khomenko, V., Yakovlev, A.: Workcraft — a framework for interpreted graph models. In: PETRI NETS’09: Proceedings of the 30th International Conference on Applications and Theory of Petri Nets, pp. 333–342. Springer-Verlag, Berlin, Heidelberg (2009)
45.
Zurück zum Zitat Rosenblum, L., Yakovlev, A.: Signal graphs: from self-timed to timed ones. Int. Workshop on Timed Petri Nets, pp. 199–206 (1985) Rosenblum, L., Yakovlev, A.: Signal graphs: from self-timed to timed ones. Int. Workshop on Timed Petri Nets, pp. 199–206 (1985)
47.
Zurück zum Zitat Seitz, C.L.: Ideas about arbiters. Lambda 1, 10–14 (1980) Seitz, C.L.: Ideas about arbiters. Lambda 1, 10–14 (1980)
48.
Zurück zum Zitat Shams, M., Ebergen, J.C., Elmasry, M.I.: Modeling and comparing CMOS implementations of the C-element. IEEE Trans. VLSI Syst. 6(4), 563–567 (1998)CrossRef Shams, M., Ebergen, J.C., Elmasry, M.I.: Modeling and comparing CMOS implementations of the C-element. IEEE Trans. VLSI Syst. 6(4), 563–567 (1998)CrossRef
49.
Zurück zum Zitat Shang, D., Yakovlev, A., Koelmans, A., Sokolov, D., Bystrov, A.: Dual-rail with alternating-spacer security latch design. Tech. Rep. NCL-EECE-MSD-TR-2005-107, Newcastle University (2005) Shang, D., Yakovlev, A., Koelmans, A., Sokolov, D., Bystrov, A.: Dual-rail with alternating-spacer security latch design. Tech. Rep. NCL-EECE-MSD-TR-2005-107, Newcastle University (2005)
50.
Zurück zum Zitat Shi, Y., Furber, S., Garside, J., Plana, L.: Fault tolerant delay insensitive inter-chip communication. In: 15th IEEE Symposium on Asynchronous Circuits and Systems, 2009 (ASYNC ’09), pp. 77–84 (2009) Shi, Y., Furber, S., Garside, J., Plana, L.: Fault tolerant delay insensitive inter-chip communication. In: 15th IEEE Symposium on Asynchronous Circuits and Systems, 2009 (ASYNC ’09), pp. 77–84 (2009)
51.
Zurück zum Zitat Sokolov, D., Yakovlev, A.: Clockless circuits and system synthesis. IEE Proc. Digit. Tech. 152(3), 298–316 (2005)CrossRef Sokolov, D., Yakovlev, A.: Clockless circuits and system synthesis. IEE Proc. Digit. Tech. 152(3), 298–316 (2005)CrossRef
52.
Zurück zum Zitat Sokolov, D., Bystrov, A., Yakovlev, A.: Direct mapping of low-latency asynchronous controllers from stgs. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems 26(6), 993–1009 (2007)CrossRef Sokolov, D., Bystrov, A., Yakovlev, A.: Direct mapping of low-latency asynchronous controllers from stgs. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems 26(6), 993–1009 (2007)CrossRef
53.
Zurück zum Zitat Sparsø, J., Furber, S.: Principles of Asynchronous Circuit Design. Kluwer Academic Publishers, ISBN: 978-0-7923-7613-2, Boston/Dordrecht/London (2002) Sparsø, J., Furber, S.: Principles of Asynchronous Circuit Design. Kluwer Academic Publishers, ISBN: 978-0-7923-7613-2, Boston/Dordrecht/London (2002)
54.
55.
Zurück zum Zitat Sutherland, I.E., Fairbanks, S.: GasP: A minimal FIFO control. In: Proc. International Symposium on Advanced Research in Asynchronous Circuits and Systems, pp. 46–53. IEEE Computer Society Press (2001) Sutherland, I.E., Fairbanks, S.: GasP: A minimal FIFO control. In: Proc. International Symposium on Advanced Research in Asynchronous Circuits and Systems, pp. 46–53. IEEE Computer Society Press (2001)
56.
Zurück zum Zitat Sutherland, I.E., Sproull, R.: The theory of logical effort: Designing for speed on the back of an envelope. In: Proc. IEEE Advanced Research in VLSI, pp. 3–16. UC Santa Cruz (1991) Sutherland, I.E., Sproull, R.: The theory of logical effort: Designing for speed on the back of an envelope. In: Proc. IEEE Advanced Research in VLSI, pp. 3–16. UC Santa Cruz (1991)
57.
Zurück zum Zitat Sutherland, I.E., Molnar, C.E., Sproull, R.F., Mudge, J.C.: The Trimosbus. In: C.L. Seitz (ed.) Proceedings of the First Caltech Conference on Very Large Scale Integration, pp. 395–427 (1979) Sutherland, I.E., Molnar, C.E., Sproull, R.F., Mudge, J.C.: The Trimosbus. In: C.L. Seitz (ed.) Proceedings of the First Caltech Conference on Very Large Scale Integration, pp. 395–427 (1979)
58.
Zurück zum Zitat Taubin, A., Cortadella, J., Lavagno, L., Kondratyev, A., Peeters, A.M.G.: Design automation of real-life asynchronous devices and systems. Foundations and Trends in Electronic Design Automation 2(1), 1–133 (2007)MATHCrossRef Taubin, A., Cortadella, J., Lavagno, L., Kondratyev, A., Peeters, A.M.G.: Design automation of real-life asynchronous devices and systems. Foundations and Trends in Electronic Design Automation 2(1), 1–133 (2007)MATHCrossRef
59.
Zurück zum Zitat Thonnart, Y., Beigne, E., Vivet, P.: Design and implementation of a gals adapter for anoc based architectures. In: 15th IEEE Symposium on Asynchronous Circuits and Systems, 2009 (ASYNC ’09), pp. 13–22 (2009) Thonnart, Y., Beigne, E., Vivet, P.: Design and implementation of a gals adapter for anoc based architectures. In: 15th IEEE Symposium on Asynchronous Circuits and Systems, 2009 (ASYNC ’09), pp. 13–22 (2009)
60.
Zurück zum Zitat Thornton, M.A., Fazel, K., Reese, R.B., Traver, C.: Generalized early evaluation in self-timed circuits. In: Proc. Design, Automation and Test in Europe (DATE), pp. 255–259 (2002) Thornton, M.A., Fazel, K., Reese, R.B., Traver, C.: Generalized early evaluation in self-timed circuits. In: Proc. Design, Automation and Test in Europe (DATE), pp. 255–259 (2002)
61.
Zurück zum Zitat van Berkel, K., Bink, A.: Single-track handshake signaling with application to micropipelines and handshake circuits. In: Advanced Research in Asynchronous Circuits and Systems, 1996. Proceedings., Second International Symposium on, pp. 122–133 (1996) van Berkel, K., Bink, A.: Single-track handshake signaling with application to micropipelines and handshake circuits. In: Advanced Research in Asynchronous Circuits and Systems, 1996. Proceedings., Second International Symposium on, pp. 122–133 (1996)
62.
Zurück zum Zitat Varshavsky, V.I., Kishinevsky, M.A., Marakhovsky, V., Yakovlev, A.: Self-Timed Control of Concurrent Processes: The Design of Aperiodic Logical Circuits in Computers and Discrete Systems. Kluwer Academic Publishers, Dordrecht, The Netherlands (1990)MATH Varshavsky, V.I., Kishinevsky, M.A., Marakhovsky, V., Yakovlev, A.: Self-Timed Control of Concurrent Processes: The Design of Aperiodic Logical Circuits in Computers and Discrete Systems. Kluwer Academic Publishers, Dordrecht, The Netherlands (1990)MATH
63.
Zurück zum Zitat Varshavsky, V., Marakhovsky, V., Rosenblum, L., Tatarinov, Y.S., Yakovlev, A.: Towards fault tolerant hardware implementation of physical layer network protocols. In: Automatic Control and Computer Science (translated from Russian), vol. 20, pp. 71–76. Allerton Press (1986) Varshavsky, V., Marakhovsky, V., Rosenblum, L., Tatarinov, Y.S., Yakovlev, A.: Towards fault tolerant hardware implementation of physical layer network protocols. In: Automatic Control and Computer Science (translated from Russian), vol. 20, pp. 71–76. Allerton Press (1986)
64.
Zurück zum Zitat Varshavsky, V.I., Volodarsky, V.Y., Marakhovsky, V.B., Rozenblyum, L.Y., Tatarinov, Y.S., Yakovlev, A.: Structural organization and information interchange protocols for a fault-tolerant self-synchronous ring baseband channel (pt.1). Hardware implementation of protocols for a fault-tolerant self-synchronous ring channel (pt.2). Algorithmic and structural organization of test and recovery facilities in a self-synchronous ring (pt.3), vol. 22, no 4, pp. 44 – 51 (pt.1), no 5, pp. 59 – 67 (pt.2), vol. 23, no 1, pp. 53 – 58 (pt.3). In: Automatic Control and Computer Science. Allerton Press, Inc. (1988, 1989) Varshavsky, V.I., Volodarsky, V.Y., Marakhovsky, V.B., Rozenblyum, L.Y., Tatarinov, Y.S., Yakovlev, A.: Structural organization and information interchange protocols for a fault-tolerant self-synchronous ring baseband channel (pt.1). Hardware implementation of protocols for a fault-tolerant self-synchronous ring channel (pt.2). Algorithmic and structural organization of test and recovery facilities in a self-synchronous ring (pt.3), vol. 22, no 4, pp. 44 – 51 (pt.1), no 5, pp. 59 – 67 (pt.2), vol. 23, no 1, pp. 53 – 58 (pt.3). In: Automatic Control and Computer Science. Allerton Press, Inc. (1988, 1989)
65.
66.
Zurück zum Zitat Visweswariah, C.: Death, taxes and failing chips. In: DAC ’03: Proceedings of the 40th annual Design Automation Conference, pp. 343–347. ACM, New York, NY, USA (2003) Visweswariah, C.: Death, taxes and failing chips. In: DAC ’03: Proceedings of the 40th annual Design Automation Conference, pp. 343–347. ACM, New York, NY, USA (2003)
67.
Zurück zum Zitat Yakovlev, A., Furber, S., Krenz, R., Bystrov, A.: Design and analysis os a self-timed duplex communication system. IEEE Trans Comput 53(7), 798–814 (2004)CrossRef Yakovlev, A., Furber, S., Krenz, R., Bystrov, A.: Design and analysis os a self-timed duplex communication system. IEEE Trans Comput 53(7), 798–814 (2004)CrossRef
68.
Zurück zum Zitat Yakovlev, A., Varshavsky, V., Marakhovsky, V., Semenov, A.: Designing an asynchronous pipeline token ring interface. In: Asynchronous Design Methodologies, pp. 32–41. IEEE Computer Society Press (1995) Yakovlev, A., Varshavsky, V., Marakhovsky, V., Semenov, A.: Designing an asynchronous pipeline token ring interface. In: Asynchronous Design Methodologies, pp. 32–41. IEEE Computer Society Press (1995)
Metadaten
Titel
Asynchronous Communications for NoCs
verfasst von
Stanislavs Golubcovs
Alex Yakovlev
Copyright-Jahr
2011
Verlag
Springer US
DOI
https://doi.org/10.1007/978-1-4419-6911-8_4

Neuer Inhalt