Skip to main content
Erschienen in: Microsystem Technologies 3/2022

14.03.2020 | Technical Paper

Design of SRAM cell for low power portable healthcare applications

verfasst von: Soumitra Pal, Subhankar Bose, Aminul Islam

Erschienen in: Microsystem Technologies | Ausgabe 3/2022

Einloggen

Aktivieren Sie unsere intelligente Suche, um passende Fachinhalte oder Patente zu finden.

search-config
loading …

Abstract

Biomedical applications such as body area networks (BANs) require the design of power-efficient SRAM cells for the extended battery lives of BAN sensor nodes. In this work, we have proposed a bit-interleaving supporting, robust, low-power single-ended 9T (SE9T) bitcell. Design metrics of our bitcell are compared with several bitcells such as the 7T, FD8T and SEDF9T cells for their comparative analysis. The proposed cell shows 2.87×/3.36× higher RSNM than that of 7T/FD8T and 1.05×/1.5×/7.0× higher WSNM than that of 7T/FD8T/SEDF9T, 1.15×/1.06× and 1.54×/1.38× lower distribution in TRA and IREAD, respectively, compared to 7T/FD8T. In addition, the proposed cell shows 1.15×/1.22× shorter TWA when compared to SEDF9T/7T. Furthermore, SE9T cell consumes 10.80×/17.81× lower write power than that of SEDF9T/FD8T and 1.52×/18.37× lower read power than that of 7T/FD8T. It also exhibits 1.04×/2.92× lower leakage power dissipation than that of FD8T/7T. All these developments are obtained at a cost of 2.5× longer TWA, 1.73×/1.73× longer TRA when compared to FD8T and 7T/FD8T, and 1.64×/1.06× higher write power/read power than 7T/SEDF9T @ VDD = 700 mV.

Sie haben noch keine Lizenz? Dann Informieren Sie sich jetzt über unsere Produkte:

Springer Professional "Wirtschaft+Technik"

Online-Abonnement

Mit Springer Professional "Wirtschaft+Technik" erhalten Sie Zugriff auf:

  • über 102.000 Bücher
  • über 537 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Finance + Banking
  • Management + Führung
  • Marketing + Vertrieb
  • Maschinenbau + Werkstoffe
  • Versicherung + Risiko

Jetzt Wissensvorsprung sichern!

Springer Professional "Technik"

Online-Abonnement

Mit Springer Professional "Technik" erhalten Sie Zugriff auf:

  • über 67.000 Bücher
  • über 390 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Maschinenbau + Werkstoffe




 

Jetzt Wissensvorsprung sichern!

Literatur
Zurück zum Zitat Ahmad S, Gupta MK, Alam N, Hasan M (2016) Single-ended schmitt-trigger-based robust low-power SRAM cell. IEEE Trans Very Large Scale Integr Syst 24(8):2634–2642CrossRef Ahmad S, Gupta MK, Alam N, Hasan M (2016) Single-ended schmitt-trigger-based robust low-power SRAM cell. IEEE Trans Very Large Scale Integr Syst 24(8):2634–2642CrossRef
Zurück zum Zitat Aly RE, Bayoumi MA (2007) Low-power cache design using 7T SRAM cell. IEEE Trans Circuits Syst II Express Briefs 54(4):318–322CrossRef Aly RE, Bayoumi MA (2007) Low-power cache design using 7T SRAM cell. IEEE Trans Circuits Syst II Express Briefs 54(4):318–322CrossRef
Zurück zum Zitat Anh-Tuan D, Low JYS, Low JYL, Kong ZH, Tan X, Yeo KS (2011) An 8T differential SRAM with improved noise margin for bit-interleaving in 65 nm CMOS. IEEE Trans Circuits Syst I Regul Pap 58(6):1252–1263MathSciNetCrossRef Anh-Tuan D, Low JYS, Low JYL, Kong ZH, Tan X, Yeo KS (2011) An 8T differential SRAM with improved noise margin for bit-interleaving in 65 nm CMOS. IEEE Trans Circuits Syst I Regul Pap 58(6):1252–1263MathSciNetCrossRef
Zurück zum Zitat Chiu YW, Hu YH (2014) 40 Nm Bit-interleaving 12T subthreshold SRAM with data-aware write-assist. IEEE Trans Circuits Syst I Regul Pap 61(9):2578–2585CrossRef Chiu YW, Hu YH (2014) 40 Nm Bit-interleaving 12T subthreshold SRAM with data-aware write-assist. IEEE Trans Circuits Syst I Regul Pap 61(9):2578–2585CrossRef
Zurück zum Zitat Dautov R, Tsouri GR (2016) Securing while sampling in wireless body area networks with application to electrocardiography. IEEE J Biomed Health Inform 20(1):135–142CrossRef Dautov R, Tsouri GR (2016) Securing while sampling in wireless body area networks with application to electrocardiography. IEEE J Biomed Health Inform 20(1):135–142CrossRef
Zurück zum Zitat Ensan SS, Moaiyeri MH, Hessabi S (2018) A robust and low-power near-threshold SRAM in 10-nm FinFET technology. Analog Integr Circuits Signal Process 94(3):497–506CrossRef Ensan SS, Moaiyeri MH, Hessabi S (2018) A robust and low-power near-threshold SRAM in 10-nm FinFET technology. Analog Integr Circuits Signal Process 94(3):497–506CrossRef
Zurück zum Zitat Ensan SS, Moaiyeri MH, Moghaddam M, Hessabi S (2019) A low-power single-ended SRAM in FinFET technology. AEU Int J Electron Commun 99:361–368CrossRef Ensan SS, Moaiyeri MH, Moghaddam M, Hessabi S (2019) A low-power single-ended SRAM in FinFET technology. AEU Int J Electron Commun 99:361–368CrossRef
Zurück zum Zitat Farkhani H, Peiravi A, Moradi F (2014) A new asymmetric 6T SRAM cell with a write assist technique in 65 nm CMOS technology. Microelectron J 45(11):1556–1565CrossRef Farkhani H, Peiravi A, Moradi F (2014) A new asymmetric 6T SRAM cell with a write assist technique in 65 nm CMOS technology. Microelectron J 45(11):1556–1565CrossRef
Zurück zum Zitat Gupta S, Gupta K, Pandey N (2018) Pentavariate Vmin analysis of a subthreshold 10T SRAM bit cell with variation tolerant write and divided bit-line read. IEEE Trans Circuits Syst I Regul Pap 65(10):3326–3337CrossRef Gupta S, Gupta K, Pandey N (2018) Pentavariate Vmin analysis of a subthreshold 10T SRAM bit cell with variation tolerant write and divided bit-line read. IEEE Trans Circuits Syst I Regul Pap 65(10):3326–3337CrossRef
Zurück zum Zitat Islam A, Hasan M (2012a) Leakage characterization of 10T SRAM cell. IEEE Trans Electron Devices 59(3):631–638CrossRef Islam A, Hasan M (2012a) Leakage characterization of 10T SRAM cell. IEEE Trans Electron Devices 59(3):631–638CrossRef
Zurück zum Zitat Islam A, Hasan M (2012b) A technique to mitigate impact of process, voltage and temperature variations on design metrics of SRAM cell. Microelectron Reliab 52(2):405–411CrossRef Islam A, Hasan M (2012b) A technique to mitigate impact of process, voltage and temperature variations on design metrics of SRAM cell. Microelectron Reliab 52(2):405–411CrossRef
Zurück zum Zitat Izumi S et al (2015) A wearable healthcare system with a 13.7 μA noise tolerant ECG processor. IEEE Trans Biomed Circuits Syst 9(5):733–742CrossRef Izumi S et al (2015) A wearable healthcare system with a 13.7 μA noise tolerant ECG processor. IEEE Trans Biomed Circuits Syst 9(5):733–742CrossRef
Zurück zum Zitat Kulkarni JP, Kim K, Roy K (2007) A 160 mV robust schmitt trigger based subthreshold SRAM. IEEE J Solid-State Circuits 42(10):2303–2313CrossRef Kulkarni JP, Kim K, Roy K (2007) A 160 mV robust schmitt trigger based subthreshold SRAM. IEEE J Solid-State Circuits 42(10):2303–2313CrossRef
Zurück zum Zitat Kushwah CB, Vishvakarma SK, Dwivedi D (2017) A boostless write optimised single ended robust 7T SRAM cell for ultra-low power memory design. Int J Electron Lett 5(1):13–25CrossRef Kushwah CB, Vishvakarma SK, Dwivedi D (2017) A boostless write optimised single ended robust 7T SRAM cell for ultra-low power memory design. Int J Electron Lett 5(1):13–25CrossRef
Zurück zum Zitat Kwong J, Chandrakasan AP (2011) An energy-efficient biomedical signal processing platform. IEEE J Solid-State Circuits 46(7):1742–1753CrossRef Kwong J, Chandrakasan AP (2011) An energy-efficient biomedical signal processing platform. IEEE J Solid-State Circuits 46(7):1742–1753CrossRef
Zurück zum Zitat Maroof N, Kong B (2017) 10T SRAM using Half- VDD precharge and row-wise dynamically powered read port for low switching power and ultralow RBL leakage. IEEE Trans Very Large Scale Integr Syst 25(4):1193–1203CrossRef Maroof N, Kong B (2017) 10T SRAM using Half- VDD precharge and row-wise dynamically powered read port for low switching power and ultralow RBL leakage. IEEE Trans Very Large Scale Integr Syst 25(4):1193–1203CrossRef
Zurück zum Zitat Moghaddam M, Timarchi S, Moaiyeri MH, Eshghi M (2016) An ultra-low-power 9T SRAM cell based on threshold voltage techniques. Circuits Syst Signal Process 35(5):1437–1455CrossRef Moghaddam M, Timarchi S, Moaiyeri MH, Eshghi M (2016) An ultra-low-power 9T SRAM cell based on threshold voltage techniques. Circuits Syst Signal Process 35(5):1437–1455CrossRef
Zurück zum Zitat Morifuji E, Yoshida T, Kanda M, Matsuda S, Yamada S, Matsuoka F (2006) Supply and threshold-voltage trends for scaled logic and SRAM MOSFETs. IEEE Trans Electron Devices 53(6):1427–1432CrossRef Morifuji E, Yoshida T, Kanda M, Matsuda S, Yamada S, Matsuoka F (2006) Supply and threshold-voltage trends for scaled logic and SRAM MOSFETs. IEEE Trans Electron Devices 53(6):1427–1432CrossRef
Zurück zum Zitat Nabavi M, Sachdev M (2018) A 290-mV, 3.34-MHz, 6T SRAM with pMOS access transistors and boosted wordline in 65-nm CMOS technology. IEEE J Solid-State Circuits 53(2):656–667CrossRef Nabavi M, Sachdev M (2018) A 290-mV, 3.34-MHz, 6T SRAM with pMOS access transistors and boosted wordline in 65-nm CMOS technology. IEEE J Solid-State Circuits 53(2):656–667CrossRef
Zurück zum Zitat Nayak D, Acharya DP, Mahapatra K (2017) A read disturbance free differential read SRAM cell for low power and reliable cache in embedded processor. AEU Int J Electron Commun 74:192–197CrossRef Nayak D, Acharya DP, Mahapatra K (2017) A read disturbance free differential read SRAM cell for low power and reliable cache in embedded processor. AEU Int J Electron Commun 74:192–197CrossRef
Zurück zum Zitat Pal S, Islam A (2016a) Variation tolerant differential 8T SRAM cell for ultralow power applications. IEEE Trans Comput Des Integr Circuits Syst 35(4):549–558CrossRef Pal S, Islam A (2016a) Variation tolerant differential 8T SRAM cell for ultralow power applications. IEEE Trans Comput Des Integr Circuits Syst 35(4):549–558CrossRef
Zurück zum Zitat Pal S, Islam A (2016b) 9-T SRAM cell for reliable ultralow-power applications and solving multibit soft-error issue. IEEE Trans Device Mater Reliab 16(2):172–182CrossRef Pal S, Islam A (2016b) 9-T SRAM cell for reliable ultralow-power applications and solving multibit soft-error issue. IEEE Trans Device Mater Reliab 16(2):172–182CrossRef
Zurück zum Zitat Pal S, Gupta V, Islam A (2018) Variation resilient low-power memristor-based synchronous flip-flops: design and analysis. Microsyst Technol 6:1–14 Pal S, Gupta V, Islam A (2018) Variation resilient low-power memristor-based synchronous flip-flops: design and analysis. Microsyst Technol 6:1–14
Zurück zum Zitat Pal S, Gupta V, Ki WH, Islam A (2019a) Transmission gate-based 9T SRAM cell for variation resilient low power and reliable internet of things applications. IET Circuits Devices Syst 13(5):584–595CrossRef Pal S, Gupta V, Ki WH, Islam A (2019a) Transmission gate-based 9T SRAM cell for variation resilient low power and reliable internet of things applications. IET Circuits Devices Syst 13(5):584–595CrossRef
Zurück zum Zitat Pal S, Bose S, Ki W-H, Islam A (2019b) Characterization of half-select free write assist 9T SRAM cell. IEEE Trans Electron Devices 66(11):4745–4752CrossRef Pal S, Bose S, Ki W-H, Islam A (2019b) Characterization of half-select free write assist 9T SRAM cell. IEEE Trans Electron Devices 66(11):4745–4752CrossRef
Zurück zum Zitat Pal S, Gupta V, Islam A (2019d) Design of CNFET based power- and variability-aware nonvolatile RRAM cell. Microelectron J 86:7–14CrossRef Pal S, Gupta V, Islam A (2019d) Design of CNFET based power- and variability-aware nonvolatile RRAM cell. Microelectron J 86:7–14CrossRef
Zurück zum Zitat Pal S, Gupta V, Ki WH, Islam A (2019e) Design and development of memristor-based RRAM. IET Circuits Devices Syst 13(4):548–557CrossRef Pal S, Gupta V, Ki WH, Islam A (2019e) Design and development of memristor-based RRAM. IET Circuits Devices Syst 13(4):548–557CrossRef
Zurück zum Zitat Pal S, Bose S, Ki W-H, Islam A (2019f) Design of power- and variability-aware nonvolatile RRAM cell using memristor as a memory element. IEEE J Electron Devices Soc 7:701–709CrossRef Pal S, Bose S, Ki W-H, Islam A (2019f) Design of power- and variability-aware nonvolatile RRAM cell using memristor as a memory element. IEEE J Electron Devices Soc 7:701–709CrossRef
Zurück zum Zitat Pal S, Bose S, Islam A (2019g) Design of memristor based low power and highly reliable ReRAM cell. Microsyst Technol 1:1–15 Pal S, Bose S, Islam A (2019g) Design of memristor based low power and highly reliable ReRAM cell. Microsyst Technol 1:1–15
Zurück zum Zitat Pal S, Bose S, Ki WH, Islam A (2020a) A highly stable reliable SRAM cell design for low power applications. Microelectron Reliab 105:113503CrossRef Pal S, Bose S, Ki WH, Islam A (2020a) A highly stable reliable SRAM cell design for low power applications. Microelectron Reliab 105:113503CrossRef
Zurück zum Zitat Pal S, Bose S, Ki WH, Islam A (2020b) Half-select-free low-power dynamic loop-cutting write assist SRAM cell for space applications. IEEE Trans Electron Devices 67(1):80–89CrossRef Pal S, Bose S, Ki WH, Islam A (2020b) Half-select-free low-power dynamic loop-cutting write assist SRAM cell for space applications. IEEE Trans Electron Devices 67(1):80–89CrossRef
Zurück zum Zitat Sharma V, Cosemans S, Ashouie M, Huisken J, Catthoor F, Dehaene W (2012) Ultra low-energy SRAM design for smart ubiquitous sensors. IEEE Micro 32(5):10–24CrossRef Sharma V, Cosemans S, Ashouie M, Huisken J, Catthoor F, Dehaene W (2012) Ultra low-energy SRAM design for smart ubiquitous sensors. IEEE Micro 32(5):10–24CrossRef
Zurück zum Zitat Sharma V, Gopal M, Singh P, Vishvakarma SK (2018) A 220 mV robust read-decoupled partial feedback cutting based low-leakage 9T SRAM for Internet of Things (IoT) applications. AEU Int J Electron Commun 87:144–157CrossRef Sharma V, Gopal M, Singh P, Vishvakarma SK (2018) A 220 mV robust read-decoupled partial feedback cutting based low-leakage 9T SRAM for Internet of Things (IoT) applications. AEU Int J Electron Commun 87:144–157CrossRef
Zurück zum Zitat Takeda K et al (2006) A read-static-noise-margin-free SRAM cell for low-VDD and high-speed applications. IEEE J Solid-State Circuits 41(1):113–121CrossRef Takeda K et al (2006) A read-static-noise-margin-free SRAM cell for low-VDD and high-speed applications. IEEE J Solid-State Circuits 41(1):113–121CrossRef
Zurück zum Zitat Tawfik SA, Kursun V (2008) Low power and robust 7T dual-Vt SRAM circuit. In: Proceedings of IEEE Int. Symp. Circuits Syst., pp 1452–1455 Tawfik SA, Kursun V (2008) Low power and robust 7T dual-Vt SRAM circuit. In: Proceedings of IEEE Int. Symp. Circuits Syst., pp 1452–1455
Zurück zum Zitat Tu MH, Lin JY, Tsai MC, Jou SJ, Te Chuang C (2010) Single-ended subthreshold SRAM with asymmetrical write/read-assist. IEEE Trans Circuits Syst I Regul Pap 57(12):3039–3047MathSciNetCrossRef Tu MH, Lin JY, Tsai MC, Jou SJ, Te Chuang C (2010) Single-ended subthreshold SRAM with asymmetrical write/read-assist. IEEE Trans Circuits Syst I Regul Pap 57(12):3039–3047MathSciNetCrossRef
Zurück zum Zitat Tu MH, Lin JY, Tsai MC (2012) A single-ended disturb-free 9T subthreshold SRAM with cross-point data-aware write word-line structure, negative bit-line, and adaptive read operation timing tracing. IEEE J Solid-State Circuits 47(6):1469–1482CrossRef Tu MH, Lin JY, Tsai MC (2012) A single-ended disturb-free 9T subthreshold SRAM with cross-point data-aware write word-line structure, negative bit-line, and adaptive read operation timing tracing. IEEE J Solid-State Circuits 47(6):1469–1482CrossRef
Zurück zum Zitat Wang X, Zhang Y, Lu C, Mao Z (2016) Power efficient SRAM design with integrated bit line charge pump. AEU Int J Electron Commun 70(10):1395–1402CrossRef Wang X, Zhang Y, Lu C, Mao Z (2016) Power efficient SRAM design with integrated bit line charge pump. AEU Int J Electron Commun 70(10):1395–1402CrossRef
Metadaten
Titel
Design of SRAM cell for low power portable healthcare applications
verfasst von
Soumitra Pal
Subhankar Bose
Aminul Islam
Publikationsdatum
14.03.2020
Verlag
Springer Berlin Heidelberg
Erschienen in
Microsystem Technologies / Ausgabe 3/2022
Print ISSN: 0946-7076
Elektronische ISSN: 1432-1858
DOI
https://doi.org/10.1007/s00542-020-04809-6

Weitere Artikel der Ausgabe 3/2022

Microsystem Technologies 3/2022 Zur Ausgabe

Neuer Inhalt