Skip to main content

2018 | OriginalPaper | Buchkapitel

2. Dynamic Optimizations for Energy Efficiency

verfasst von : Jawad Haj-Yahya, Avi Mendelson, Yosi Ben Asher, Anupam Chattopadhyay

Erschienen in: Energy Efficient High Performance Processors

Verlag: Springer Singapore

Aktivieren Sie unsere intelligente Suche, um passende Fachinhalte oder Patente zu finden.

search-config
loading …

Abstract

The growing adoption of mobile devices powered by batteries along with the high-power costs in data centers raises the need for energy-efficient computing. Dynamic voltage and frequency scaling is often used by the operating system to balance power performance. However, optimizing for energy efficiency faces multiple challenges such as when dealing with nonsteady state workloads. In this work, we develop DOEE—a novel method that optimizes certain processor features for energy efficiency using user-supplied metrics. The optimization is dynamic, taking into account the runtime characteristics of the workload and the platform. The method instruments monitoring code to search for per-program-phase optimal feature configurations that ultimately improve system energy efficiency. We demonstrate the framework using the LLVM compiler when tuning the Turbo Boost feature on modern Intel Core processors. This implementation improves energy efficiency by up to 23% on SPEC CPU2006 benchmarks, outperforming the energy-efficient firmware algorithm.

Sie haben noch keine Lizenz? Dann Informieren Sie sich jetzt über unsere Produkte:

Springer Professional "Wirtschaft+Technik"

Online-Abonnement

Mit Springer Professional "Wirtschaft+Technik" erhalten Sie Zugriff auf:

  • über 102.000 Bücher
  • über 537 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Finance + Banking
  • Management + Führung
  • Marketing + Vertrieb
  • Maschinenbau + Werkstoffe
  • Versicherung + Risiko

Jetzt Wissensvorsprung sichern!

Springer Professional "Technik"

Online-Abonnement

Mit Springer Professional "Technik" erhalten Sie Zugriff auf:

  • über 67.000 Bücher
  • über 390 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Maschinenbau + Werkstoffe




 

Jetzt Wissensvorsprung sichern!

Literatur
1.
Zurück zum Zitat Intel® Corporation. Intel® Turbo Boost Technology in Intel® Core™ Microarchitecture (Nehalem) Based Processors. Whitepaper, Intel® Corporation, November 2008. Intel® Corporation. Intel® Turbo Boost Technology in Intel® Core™ Microarchitecture (Nehalem) Based Processors. Whitepaper, Intel® Corporation, November 2008.
2.
Zurück zum Zitat Le Sueur, Etienne, and Gernot Heiser. “Dynamic voltage and frequency scaling: The laws of diminishing returns.” Proceedings of the 2010 international conference on Power aware computing and systems. USENIX Association, 2010. Le Sueur, Etienne, and Gernot Heiser. “Dynamic voltage and frequency scaling: The laws of diminishing returns.” Proceedings of the 2010 international conference on Power aware computing and systems. USENIX Association, 2010.
3.
Zurück zum Zitat Koukos, Konstantinos, et al. “Towards more efficient execution: a decoupled access-execute approach.” Proceedings of the 27th international ACM conference on International conference on supercomputing. ACM, 2013. Koukos, Konstantinos, et al. “Towards more efficient execution: a decoupled access-execute approach.” Proceedings of the 27th international ACM conference on International conference on supercomputing. ACM, 2013.
4.
Zurück zum Zitat Jimborean, Alexandra, et al. “Fix the code. Don’t tweak the hardware: A new compiler approach to Voltage-Frequency scaling.” Proceedings of Annual IEEE/ACM International Symposium on Code Generation and Optimization. ACM, 2014. Jimborean, Alexandra, et al. “Fix the code. Don’t tweak the hardware: A new compiler approach to Voltage-Frequency scaling.” Proceedings of Annual IEEE/ACM International Symposium on Code Generation and Optimization. ACM, 2014.
5.
Zurück zum Zitat Charles, James, et al. “Evaluation of the Intel® Core™ i7 Turbo Boost feature.” Workload Characterization, 2009. IISWC 2009. IEEE International Symposium on. IEEE, 2009. Charles, James, et al. “Evaluation of the Intel® Core™ i7 Turbo Boost feature.” Workload Characterization, 2009. IISWC 2009. IEEE International Symposium on. IEEE, 2009.
6.
Zurück zum Zitat D. Dunn, “The best and worst cities for data centers,” Information Week, Oct. 23, 2006 edition. D. Dunn, “The best and worst cities for data centers,” Information Week, Oct. 23, 2006 edition.
7.
Zurück zum Zitat Grochowski, Ed, and Murali Annavaram. “Energy per instruction trends in Intel microprocessors.” Technology@ Intel Magazine 4.3 (2006): 1–8. Grochowski, Ed, and Murali Annavaram. “Energy per instruction trends in Intel microprocessors.” Technology@ Intel Magazine 4.3 (2006): 1–8.
8.
Zurück zum Zitat R. Gonzalez and M. Horowitz, “Energy dissipation in general purpose microprocessors,” IEEE J. Solid-State Circuits, Vol. 31, No. 9, Sept. 1996, pp. 1277–1284. R. Gonzalez and M. Horowitz, “Energy dissipation in general purpose microprocessors,” IEEE J. Solid-State Circuits, Vol. 31, No. 9, Sept. 1996, pp. 1277–1284.
9.
Zurück zum Zitat Zyuban, Victor, et al. “Integrated analysis of power and performance for pipelined microprocessors.” Computers, IEEE Transactions on 53.8 (2004): 1004–1016. Zyuban, Victor, et al. “Integrated analysis of power and performance for pipelined microprocessors.” Computers, IEEE Transactions on 53.8 (2004): 1004–1016.
10.
Zurück zum Zitat Brooks, David M., et al. “Power-aware microarchitecture: Design and modeling challenges for next-generation microprocessors.” Micro, IEEE 20.6 (2000): 26–44. Brooks, David M., et al. “Power-aware microarchitecture: Design and modeling challenges for next-generation microprocessors.” Micro, IEEE 20.6 (2000): 26–44.
11.
Zurück zum Zitat Flynn, M., Patrick Hung, and Kevin W. Rudd. “Deep submicron microprocessor design issues.” Micro, IEEE 19.4 (1999): 11–22. Flynn, M., Patrick Hung, and Kevin W. Rudd. “Deep submicron microprocessor design issues.” Micro, IEEE 19.4 (1999): 11–22.
12.
Zurück zum Zitat Rotem, Efraim, et al. “Power-management architecture of the intel microarchitecture code-named sandy bridge.” IEEE Micro 32.2 (2012): 0020–27. Rotem, Efraim, et al. “Power-management architecture of the intel microarchitecture code-named sandy bridge.” IEEE Micro 32.2 (2012): 0020–27.
13.
Zurück zum Zitat Bellosa, F.: The benefits of event: driven energy accounting in power-sensitive systems. In: Proceedings of the 9th Workshop on ACM SIGOPS European Workshop: Beyond the PC: New Challenges for the Operating System, pp. 37–42. ACM (2000). Bellosa, F.: The benefits of event: driven energy accounting in power-sensitive systems. In: Proceedings of the 9th Workshop on ACM SIGOPS European Workshop: Beyond the PC: New Challenges for the Operating System, pp. 37–42. ACM (2000).
14.
Zurück zum Zitat Singh, K., Bhadauria, M., McKee, S.A.: Real time power estimation and thread scheduling via performance counters. ACM SIGARCH Computer Architecture News 37(2), 46–55 (2009). Singh, K., Bhadauria, M., McKee, S.A.: Real time power estimation and thread scheduling via performance counters. ACM SIGARCH Computer Architecture News 37(2), 46–55 (2009).
15.
Zurück zum Zitat Bertran, R., Gonzàlez, M., Martorell, X., et al.: Counter-Based Power Modeling Methods:Top-Down vs. Bottom-Up. The Computer Journal 56(2), 198–213 (2013). Bertran, R., Gonzàlez, M., Martorell, X., et al.: Counter-Based Power Modeling Methods:Top-Down vs. Bottom-Up. The Computer Journal 56(2), 198–213 (2013).
16.
Zurück zum Zitat Isci, C., Martonosi, M.: Runtime power monitoring in high-end processors: Methodology and empirical data. In: Proceedings of the 36th Annual IEEE/ACM International Symposium on Microarchitecture, p. 93. IEEE Computer Society (2003). Isci, C., Martonosi, M.: Runtime power monitoring in high-end processors: Methodology and empirical data. In: Proceedings of the 36th Annual IEEE/ACM International Symposium on Microarchitecture, p. 93. IEEE Computer Society (2003).
17.
Zurück zum Zitat Intel 64 and IA-32 Architectures Software Developer’s Manual, Volume 3, Section 14.9 (as of November 2014). Intel 64 and IA-32 Architectures Software Developer’s Manual, Volume 3, Section 14.9 (as of November 2014).
18.
Zurück zum Zitat Lattner, Chris, and Vikram Adve. “LLVM: A compilation framework for lifelong program analysis & transformation.” Code Generation and Optimization, 2004. CGO 2004. International Symposium on. IEEE, 2004. Lattner, Chris, and Vikram Adve. “LLVM: A compilation framework for lifelong program analysis & transformation.” Code Generation and Optimization, 2004. CGO 2004. International Symposium on. IEEE, 2004.
19.
Zurück zum Zitat James, Dick. “Intel Ivy Bridge unveiled—The first commercial tri-gate, high-k, metal-gate CPU.” Custom Integrated Circuits Conference (CICC), 2012 IEEE. IEEE, 2012. James, Dick. “Intel Ivy Bridge unveiled—The first commercial tri-gate, high-k, metal-gate CPU.” Custom Integrated Circuits Conference (CICC), 2012 IEEE. IEEE, 2012.
21.
Zurück zum Zitat Jain, Tarush, and Tanmay Agrawal. “The Haswell Microarchitecture-4th Generation Processor”. Jain, Tarush, and Tanmay Agrawal. “The Haswell Microarchitecture-4th Generation Processor”.
22.
Zurück zum Zitat Rotem, Efraim, et al. “Energy Aware Race to Halt: A Down to EARtH Approach for Platform Energy Management.” (2012): 1–1. Rotem, Efraim, et al. “Energy Aware Race to Halt: A Down to EARtH Approach for Platform Energy Management.” (2012): 1–1.
23.
Zurück zum Zitat Wu, Qiang, et al. “A dynamic compilation framework for controlling microprocessor energy and performance.” Proceedings of the 38th annual IEEE/ACM International Symposium on Microarchitecture. IEEE Computer Society, 2005. Wu, Qiang, et al. “A dynamic compilation framework for controlling microprocessor energy and performance.” Proceedings of the 38th annual IEEE/ACM International Symposium on Microarchitecture. IEEE Computer Society, 2005.
24.
Zurück zum Zitat Sasaki, Hiroshi, et al. “An intra-task dvfs technique based on statistical analysis of hardware events.” Proceedings of the 4th international conference on computing frontiers. ACM, 2007. Sasaki, Hiroshi, et al. “An intra-task dvfs technique based on statistical analysis of hardware events.” Proceedings of the 4th international conference on computing frontiers. ACM, 2007.
25.
Zurück zum Zitat Isci, Canturk, Gilberto Contreras, and Margaret Martonosi. “Live, runtime phase monitoring and prediction on real systems with application to dynamic power management.” Proceedings of the 39th Annual IEEE/ACM International Symposium on Microarchitecture. IEEE Computer Society, 2006. Isci, Canturk, Gilberto Contreras, and Margaret Martonosi. “Live, runtime phase monitoring and prediction on real systems with application to dynamic power management.” Proceedings of the 39th Annual IEEE/ACM International Symposium on Microarchitecture. IEEE Computer Society, 2006.
27.
Zurück zum Zitat A. Yasin, “A Top-Down Method for Performance Analysis and Counters Architecture,” in Performance Analysis of Systems and Software (ISPASS), IEEE International Symposium on, 2014. A. Yasin, “A Top-Down Method for Performance Analysis and Counters Architecture,” in Performance Analysis of Systems and Software (ISPASS), IEEE International Symposium on, 2014.
Metadaten
Titel
Dynamic Optimizations for Energy Efficiency
verfasst von
Jawad Haj-Yahya
Avi Mendelson
Yosi Ben Asher
Anupam Chattopadhyay
Copyright-Jahr
2018
Verlag
Springer Singapore
DOI
https://doi.org/10.1007/978-981-10-8554-3_2