Skip to main content

2017 | OriginalPaper | Buchkapitel

E-QED: Electrical Bug Localization During Post-silicon Validation Enabled by Quick Error Detection and Formal Methods

verfasst von : Eshan Singh, Clark Barrett, Subhasish Mitra

Erschienen in: Computer Aided Verification

Verlag: Springer International Publishing

Aktivieren Sie unsere intelligente Suche, um passende Fachinhalte oder Patente zu finden.

search-config
loading …

Abstract

During post-silicon validation, manufactured integrated circuits are extensively tested in actual system environments to detect design bugs. Bug localization involves identification of a bug trace (a sequence of inputs that activates and detects the bug) and a hardware design block where the bug is located. Existing bug localization practices during post-silicon validation are mostly manual and ad hoc, and, hence, extremely expensive and time consuming. This is particularly true for subtle electrical bugs caused by unexpected interactions between a design and its electrical state. We present E-QED, a new approach that automatically localizes electrical bugs during post-silicon validation. Our results on the OpenSPARC T2, an open-source 500-million-transistor multicore chip design, demonstrate the effectiveness and practicality of E-QED: starting with a failed post-silicon test, in a few hours (9 h on average) we can automatically narrow the location of the bug to (the fan-in logic cone of) a handful of candidate flip-flops (18 flip-flops on average for a design with ~1 Million flip-flops) and also obtain the corresponding bug trace. The area impact of E-QED is ~2.5%. In contrast, determining this same information might take weeks (or even months) of mostly manual work using traditional approaches.

Sie haben noch keine Lizenz? Dann Informieren Sie sich jetzt über unsere Produkte:

Springer Professional "Wirtschaft+Technik"

Online-Abonnement

Mit Springer Professional "Wirtschaft+Technik" erhalten Sie Zugriff auf:

  • über 102.000 Bücher
  • über 537 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Finance + Banking
  • Management + Führung
  • Marketing + Vertrieb
  • Maschinenbau + Werkstoffe
  • Versicherung + Risiko

Jetzt Wissensvorsprung sichern!

Springer Professional "Technik"

Online-Abonnement

Mit Springer Professional "Technik" erhalten Sie Zugriff auf:

  • über 67.000 Bücher
  • über 390 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Maschinenbau + Werkstoffe




 

Jetzt Wissensvorsprung sichern!

Springer Professional "Wirtschaft"

Online-Abonnement

Mit Springer Professional "Wirtschaft" erhalten Sie Zugriff auf:

  • über 67.000 Bücher
  • über 340 Zeitschriften

aus folgenden Fachgebieten:

  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Finance + Banking
  • Management + Führung
  • Marketing + Vertrieb
  • Versicherung + Risiko




Jetzt Wissensvorsprung sichern!

Fußnoten
1
We use Quick Error Detection (QED) tests that typically achieve error detection latencies of 1,000 clock cycles or fewer (Lin et al. 2014); however, our approach can work with other tests that achieve similar error detection latencies.
 
2
We assume that all interfaces for a design block are in the same clock domain and thus all have the same value of T. This assumption can easily be satisfied by modifying the design partitioning algorithm to continue its recursive descent if the current design block uses more than one clock domain.
 
3
As an optimization, in this case, we also run the BMC analysis with the smaller value of T for the block. If this analysis is also inconsistent, it provides a much shorter window in which the bug occurred.
 
4
E-QED uses symbolic initial values for FFs. To keep this example simple, we set the initial values of the FFs as shown in Fig. 7.
 
5
Note that in our simulation experiments, above (in Sect. 3.1), we used different fixed values of b for different parts of the design. In this section, we allow b to vary but all MISRs use the same value of b.
 
Literatur
Zurück zum Zitat Abramovici, M., Breuer, M.A., Friedman, A.D.: Digital Systems Testing and Testable Design. Computer Science Press, New York (1990) Abramovici, M., Breuer, M.A., Friedman, A.D.: Digital Systems Testing and Testable Design. Computer Science Press, New York (1990)
Zurück zum Zitat Abramovici, M.: A reconfigurable design-for-debug infrastructure for SoCs. In: Proceedings of IEEE/ACM Design Automation Conference, pp. 7–12 (2006) Abramovici, M.: A reconfigurable design-for-debug infrastructure for SoCs. In: Proceedings of IEEE/ACM Design Automation Conference, pp. 7–12 (2006)
Zurück zum Zitat Anis, E., Nicolici, N.: On using lossless compression of debug data in embedded logic analysis. In: Proceedings of 2007 IEEE International Test Conference (ITC) (2007) Anis, E., Nicolici, N.: On using lossless compression of debug data in embedded logic analysis. In: Proceedings of 2007 IEEE International Test Conference (ITC) (2007)
Zurück zum Zitat Bardell, P.H., McAnney, W.H., Savir, J.: Built-in test for VLSI: Pseudorandom Techniques. Wiley, New York (1987) Bardell, P.H., McAnney, W.H., Savir, J.: Built-in test for VLSI: Pseudorandom Techniques. Wiley, New York (1987)
Zurück zum Zitat Bayazit, A.A., Malik, S.: Complementary use of runtime validation and model checking. In: Proceedings of ICCAD-2005, IEEE/ACM International Conference on Computer-Aided Design, pp. 1052–1059 (2005) Bayazit, A.A., Malik, S.: Complementary use of runtime validation and model checking. In: Proceedings of ICCAD-2005, IEEE/ACM International Conference on Computer-Aided Design, pp. 1052–1059 (2005)
Zurück zum Zitat Cho, H., et al.: Understanding soft errors in uncore components. In: Proceedings of 2015 52nd ACM/EDAC/IEEE Design Automation Conference (DAC), pp. 1–6 (2015) Cho, H., et al.: Understanding soft errors in uncore components. In: Proceedings of 2015 52nd ACM/EDAC/IEEE Design Automation Conference (DAC), pp. 1–6 (2015)
Zurück zum Zitat Clarke, E., Biere, A., Raimi, R., Zhu, Y.: Bounded model checking using satisfiability solving. Formal Methods Syst. Des. 19(1), 7–34 (2001)CrossRefMATH Clarke, E., Biere, A., Raimi, R., Zhu, Y.: Bounded model checking using satisfiability solving. Formal Methods Syst. Des. 19(1), 7–34 (2001)CrossRefMATH
Zurück zum Zitat DeOrio, A., Khudia, D.S., Bertacco, V.: Post-silicon bug diagnosis with inconsistent executions. In: Proceedings of 2011 IEEE/ACM International Conference on Computer-Aided Design (ICCAD), San Jose, CA, pp. 755–761 (2011) DeOrio, A., Khudia, D.S., Bertacco, V.: Post-silicon bug diagnosis with inconsistent executions. In: Proceedings of 2011 IEEE/ACM International Conference on Computer-Aided Design (ICCAD), San Jose, CA, pp. 755–761 (2011)
Zurück zum Zitat De Paula, F.M., et al.: BackSpace: formal analysis for post-silicon debug. In: Proceedings of International Conference on Formal Methods in Computer-Aided Design, pp. 1–10 (2008) De Paula, F.M., et al.: BackSpace: formal analysis for post-silicon debug. In: Proceedings of International Conference on Formal Methods in Computer-Aided Design, pp. 1–10 (2008)
Zurück zum Zitat De Paula, F.M., et al.: TAB-BackSpace: unlimited-length trace buffers with zero additional on-chip overhead. In: Proceedings of IEEE/ACM Design Automation Conference (2011) De Paula, F.M., et al.: TAB-BackSpace: unlimited-length trace buffers with zero additional on-chip overhead. In: Proceedings of IEEE/ACM Design Automation Conference (2011)
Zurück zum Zitat De Paula, F.M., Hu, A.J., Nahir, A.: nuTAB-BackSpace: rewriting to normalize non-determinism in post-silicon debug traces. In: Madhusudan, P., Seshia, S.A. (eds.) CAV 2012. LNCS, vol. 7358, pp. 513–531. Springer, Heidelberg (2012). doi:10.1007/978-3-642-31424-7_37 CrossRef De Paula, F.M., Hu, A.J., Nahir, A.: nuTAB-BackSpace: rewriting to normalize non-determinism in post-silicon debug traces. In: Madhusudan, P., Seshia, S.A. (eds.) CAV 2012. LNCS, vol. 7358, pp. 513–531. Springer, Heidelberg (2012). doi:10.​1007/​978-3-642-31424-7_​37 CrossRef
Zurück zum Zitat Dusanapudi, M., et al.: Debugging post-silicon fails in the IBM POWER8 bring-up lab. IBM J. Res. Dev. 59(1), 1–10 (2015)CrossRef Dusanapudi, M., et al.: Debugging post-silicon fails in the IBM POWER8 bring-up lab. IBM J. Res. Dev. 59(1), 1–10 (2015)CrossRef
Zurück zum Zitat Foster, H.D.: Trends in functional verification: a 2014 industry study. In: Proceedings of IEEE/ACM Design Automation Conference, pp. 48–52 (2015) Foster, H.D.: Trends in functional verification: a 2014 industry study. In: Proceedings of IEEE/ACM Design Automation Conference, pp. 48–52 (2015)
Zurück zum Zitat Friedler, O., et al.: Effective post-silicon failure localization using dynamic program slicing. In: Proceedings of IEEE/ACM Design Automation Test in Europe, pp. 1–6 (2014) Friedler, O., et al.: Effective post-silicon failure localization using dynamic program slicing. In: Proceedings of IEEE/ACM Design Automation Test in Europe, pp. 1–6 (2014)
Zurück zum Zitat Hong, T., et al.: QED: quick error detection tests for effective post-silicon validation. In: Proceedings of IEEE International, Test Conference, pp. 1–10 (2010) Hong, T., et al.: QED: quick error detection tests for effective post-silicon validation. In: Proceedings of IEEE International, Test Conference, pp. 1–10 (2010)
Zurück zum Zitat Jones, R.B., Seger, C.-J.H., Dill, D.L.: Self-consistency checking. In: Srivas, M., Camilleri, A. (eds.) FMCAD 1996. LNCS, vol. 1166, pp. 159–171. Springer, Heidelberg (1996). doi:10.1007/BFb0031806 CrossRef Jones, R.B., Seger, C.-J.H., Dill, D.L.: Self-consistency checking. In: Srivas, M., Camilleri, A. (eds.) FMCAD 1996. LNCS, vol. 1166, pp. 159–171. Springer, Heidelberg (1996). doi:10.​1007/​BFb0031806 CrossRef
Zurück zum Zitat Ko, H.F., Nicolici, N.: Algorithms for state restoration and trace-signal selection for data acquisition in silicon debug. IEEE Trans. Comput.-Aided Des. Integr. Circ. Syst. 28(2), 285–297 (2009)CrossRef Ko, H.F., Nicolici, N.: Algorithms for state restoration and trace-signal selection for data acquisition in silicon debug. IEEE Trans. Comput.-Aided Des. Integr. Circ. Syst. 28(2), 285–297 (2009)CrossRef
Zurück zum Zitat Larrabee, T.: Test pattern generation using Boolean satisfiability. IEEE Trans. Comput.-Aided Des. Integr. Circ. Syst. 11(1), 4–15 (1992)CrossRef Larrabee, T.: Test pattern generation using Boolean satisfiability. IEEE Trans. Comput.-Aided Des. Integr. Circ. Syst. 11(1), 4–15 (1992)CrossRef
Zurück zum Zitat Le, B., Sengupta, D., Veneris, A., Poulos, Z.: Accelerating post silicon debug of deep electrical faults. In: Proceedings of 2013 IEEE 19th International On-Line Testing Symposium (IOLTS), Chania, pp. 61–66 (2013) Le, B., Sengupta, D., Veneris, A., Poulos, Z.: Accelerating post silicon debug of deep electrical faults. In: Proceedings of 2013 IEEE 19th International On-Line Testing Symposium (IOLTS), Chania, pp. 61–66 (2013)
Zurück zum Zitat Li, W., Forin, A., Seshia, S.A.: Scalable specification mining for verification and diagnosis. In: Proceedings of Design Automation Conference (DAC), pp. 755–760 (2010) Li, W., Forin, A., Seshia, S.A.: Scalable specification mining for verification and diagnosis. In: Proceedings of Design Automation Conference (DAC), pp. 755–760 (2010)
Zurück zum Zitat Lin, D., et al.: Effective post-silicon validation of system-on-chips using quick error detection. IEEE Trans. Comput. Aided Des. Integr. Circ. Syst. 33(10), 1573–1590 (2014)CrossRef Lin, D., et al.: Effective post-silicon validation of system-on-chips using quick error detection. IEEE Trans. Comput. Aided Des. Integr. Circ. Syst. 33(10), 1573–1590 (2014)CrossRef
Zurück zum Zitat Lin, D., et al.: A structured approach to post-silicon validation and debug using symbolic quick error detection. In: Proceedings of 2015 IEEE International Test Conference (ITC), October 2015 Lin, D., et al.: A structured approach to post-silicon validation and debug using symbolic quick error detection. In: Proceedings of 2015 IEEE International Test Conference (ITC), October 2015
Zurück zum Zitat Ma, S., et al.: Can’t see the forest for the trees: state restoration’s limitations in post-silicon trace signal selection. In: Proceedings of 2015 IEEE/ACM International Conference on Computer-Aided Design (ICCAD), pp. 1–8 (2015) Ma, S., et al.: Can’t see the forest for the trees: state restoration’s limitations in post-silicon trace signal selection. In: Proceedings of 2015 IEEE/ACM International Conference on Computer-Aided Design (ICCAD), pp. 1–8 (2015)
Zurück zum Zitat Mangassarian, H., et al.: A performance-driven QBF-based iterative logic array representation with applications to verification, debug and test. In: Proceedings of International Conference on Computer-Aided Design (ICCAD) (2007) Mangassarian, H., et al.: A performance-driven QBF-based iterative logic array representation with applications to verification, debug and test. In: Proceedings of International Conference on Computer-Aided Design (ICCAD) (2007)
Zurück zum Zitat McLaughlin, R., Venkataraman, S., Lim, C.: Automated debug of speed path failures using functional tests. In: Proceedings of 2009 IEEE VLSI Test Symposium, pp. 91–96 (2009) McLaughlin, R., Venkataraman, S., Lim, C.: Automated debug of speed path failures using functional tests. In: Proceedings of 2009 IEEE VLSI Test Symposium, pp. 91–96 (2009)
Zurück zum Zitat Mishra, P., Morad, R., Ziv, A., Ray, S.: Post-silicon validation in the SoC era: a tutorial introduction. In: IEEE Design & Test, April 2017 Mishra, P., Morad, R., Ziv, A., Ray, S.: Post-silicon validation in the SoC era: a tutorial introduction. In: IEEE Design & Test, April 2017
Zurück zum Zitat Nahir, A., et al.: Post-silicon validation of the IBM POWER8 processor. In: Proceedings of IEEE/ACM Design Automation Conference, pp. 1–6 (2014) Nahir, A., et al.: Post-silicon validation of the IBM POWER8 processor. In: Proceedings of IEEE/ACM Design Automation Conference, pp. 1–6 (2014)
Zurück zum Zitat Park, S.-B., Hong, T., Mitra, S.: Post-silicon bug localization in processors using instruction footprint recording and analysis (IFRA). IEEE Trans. Comput. Aided Des. Integr. Circ. Syst. 28(10), 1545–1558 (2009)CrossRef Park, S.-B., Hong, T., Mitra, S.: Post-silicon bug localization in processors using instruction footprint recording and analysis (IFRA). IEEE Trans. Comput. Aided Des. Integr. Circ. Syst. 28(10), 1545–1558 (2009)CrossRef
Zurück zum Zitat Park, S.-B., et al.: BLoG: post-silicon bug localization in processors using bug localization graph. In: Proceedings of IEEE/ACM Design Automation Conference, pp. 368–373 (2010) Park, S.-B., et al.: BLoG: post-silicon bug localization in processors using bug localization graph. In: Proceedings of IEEE/ACM Design Automation Conference, pp. 368–373 (2010)
Zurück zum Zitat Reick, K.: Post-silicon debug – DAC workshop on post-silicon debug: technologies, methodologies, and best-practices. In: Proceedings of IEEE/ACM Design Automation Conference (2012) Reick, K.: Post-silicon debug – DAC workshop on post-silicon debug: technologies, methodologies, and best-practices. In: Proceedings of IEEE/ACM Design Automation Conference (2012)
Zurück zum Zitat Sanda, P.N., et al.: Soft-error resilience of the IBM POWER6 processor. IBM J. Res. Dev. 52(3), 275–284 (2008)CrossRef Sanda, P.N., et al.: Soft-error resilience of the IBM POWER6 processor. IBM J. Res. Dev. 52(3), 275–284 (2008)CrossRef
Zurück zum Zitat Saxena, N.R., McCluskey, E.J.: Parallel signature analysis design with bounds on aliasing. IEEE Trans. Comput. 46(4), 425–438 (1997)CrossRef Saxena, N.R., McCluskey, E.J.: Parallel signature analysis design with bounds on aliasing. IEEE Trans. Comput. 46(4), 425–438 (1997)CrossRef
Zurück zum Zitat Sengupta, D., et al.: Lazy suspect-set computation: fault diagnosis for deep electrical bugs. In: Proceedings of the Great Lakes Symposium on VLSI. ACM (2012) Sengupta, D., et al.: Lazy suspect-set computation: fault diagnosis for deep electrical bugs. In: Proceedings of the Great Lakes Symposium on VLSI. ACM (2012)
Zurück zum Zitat Singh, E., Barrett, C., Mitra, S.: E-QED: electrical bug localization during post-silicon validation enabled by quick error detection and formal methods, arXiv:1705.0125 [cs.OH] (2017) Singh, E., Barrett, C., Mitra, S.: E-QED: electrical bug localization during post-silicon validation enabled by quick error detection and formal methods, arXiv:​1705.​0125 [cs.OH] (2017)
Zurück zum Zitat Vali, A., Nicolici, N.: Bit-flip detection-driven selection of trace signals. In: Proceedings of 2016 21th IEEE European Test Symposium (ETS), Amsterdam, pp. 1–6 (2016) Vali, A., Nicolici, N.: Bit-flip detection-driven selection of trace signals. In: Proceedings of 2016 21th IEEE European Test Symposium (ETS), Amsterdam, pp. 1–6 (2016)
Zurück zum Zitat Vermeulen, B., Goossens, K.: Debugging Systems-on-Chip: Communication-Centric and Abstraction Based Techniques. Springer, Heidelberg (2014)CrossRef Vermeulen, B., Goossens, K.: Debugging Systems-on-Chip: Communication-Centric and Abstraction Based Techniques. Springer, Heidelberg (2014)CrossRef
Zurück zum Zitat Woo, S.C., et al.: The SPLASH-2 programs: characterization and methodological considerations. In: Proceedings of International Symposium on Computer Architecture (1995) Woo, S.C., et al.: The SPLASH-2 programs: characterization and methodological considerations. In: Proceedings of International Symposium on Computer Architecture (1995)
Zurück zum Zitat Zhu, C.S., Weissenbacher, G., Malik, S.: Post-silicon fault localisation using maximum satisfiability and backbones. In: Proceedings of IEEE/ACM Formal Methods Computer-Aided Design, pp. 63–66 (2011) Zhu, C.S., Weissenbacher, G., Malik, S.: Post-silicon fault localisation using maximum satisfiability and backbones. In: Proceedings of IEEE/ACM Formal Methods Computer-Aided Design, pp. 63–66 (2011)
Zurück zum Zitat Zhu, C.S., Weissenbacher, G., Malik, S.: Silicon fault diagnosis using sequence interpolation with backbones. In: Proceedings of 2014 IEEE/ACM International Conference on Computer-Aided Design (ICCAD), San Jose, CA (2014) Zhu, C.S., Weissenbacher, G., Malik, S.: Silicon fault diagnosis using sequence interpolation with backbones. In: Proceedings of 2014 IEEE/ACM International Conference on Computer-Aided Design (ICCAD), San Jose, CA (2014)
Metadaten
Titel
E-QED: Electrical Bug Localization During Post-silicon Validation Enabled by Quick Error Detection and Formal Methods
verfasst von
Eshan Singh
Clark Barrett
Subhasish Mitra
Copyright-Jahr
2017
DOI
https://doi.org/10.1007/978-3-319-63390-9_6

Premium Partner