Skip to main content

2023 | OriginalPaper | Buchkapitel

Error-Tolerant Mapping for Quantum Computing

verfasst von : Abdullah Ash Saki, Mahabubul Alam, Junde Li, Swaroop Ghosh

Erschienen in: Emerging Computing: From Devices to Systems

Verlag: Springer Nature Singapore

Aktivieren Sie unsere intelligente Suche, um passende Fachinhalte oder Patente zu finden.

search-config
loading …

Abstract

Quantum computers are built with fragile and noise/error-prone qubits. Some prominent errors include, decoherence/dephasing, gate error, readout error, leakage, and crosstalk. Furthermore, the qubits vary in terms of their quality. Some qubits are healthy whereas others prone to errors. This presents an opportunity to exploit good quality qubits to improve the computation outcome. This chapter reviews the state-of-the-art mapping techniques for error tolerance. We take quantum benchmarks as well as approximate algorithms for applications covering MaxCut, object detection and factorization to illustrate various optimization challenges and opportunities.

Sie haben noch keine Lizenz? Dann Informieren Sie sich jetzt über unsere Produkte:

Springer Professional "Wirtschaft+Technik"

Online-Abonnement

Mit Springer Professional "Wirtschaft+Technik" erhalten Sie Zugriff auf:

  • über 102.000 Bücher
  • über 537 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Finance + Banking
  • Management + Führung
  • Marketing + Vertrieb
  • Maschinenbau + Werkstoffe
  • Versicherung + Risiko

Jetzt Wissensvorsprung sichern!

Springer Professional "Technik"

Online-Abonnement

Mit Springer Professional "Technik" erhalten Sie Zugriff auf:

  • über 67.000 Bücher
  • über 390 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Maschinenbau + Werkstoffe




 

Jetzt Wissensvorsprung sichern!

Literatur
Zurück zum Zitat M. Alam, A. Ash-Saki, S. Ghosh, Addressing temporal variations in qubit quality metrics for parameterized quantum circuits, in 2019 IEEE/ACM International Symposium on Low Power Electronics and Design (ISLPED) (2019a), pp. 1–6 M. Alam, A. Ash-Saki, S. Ghosh, Addressing temporal variations in qubit quality metrics for parameterized quantum circuits, in 2019 IEEE/ACM International Symposium on Low Power Electronics and Design (ISLPED) (2019a), pp. 1–6
Zurück zum Zitat M. Alam, A. Ash-Saki, S. Ghosh, Analysis of quantum approximate optimization algorithm under realistic noise in superconducting qubits (2019b), arXiv preprint: arXiv:1907.09631 M. Alam, A. Ash-Saki, S. Ghosh, Analysis of quantum approximate optimization algorithm under realistic noise in superconducting qubits (2019b), arXiv preprint: arXiv:​1907.​09631
Zurück zum Zitat M. Alam, A. Ash-Saki, S. Ghosh, An efficient circuit compilation flow for quantum approximate optimization algorithm, in 57th Annual Design Automation Conference (2020) M. Alam, A. Ash-Saki, S. Ghosh, An efficient circuit compilation flow for quantum approximate optimization algorithm, in 57th Annual Design Automation Conference (2020)
Zurück zum Zitat E. Anschuetz, J. Olson, A. Aspuru-Guzik, Y. Cao, Variational quantum factoring, in International Workshop on Quantum Technology and Optimization Problems (Springer, 2019), pp. 74–85 E. Anschuetz, J. Olson, A. Aspuru-Guzik, Y. Cao, Variational quantum factoring, in International Workshop on Quantum Technology and Optimization Problems (Springer, 2019), pp. 74–85
Zurück zum Zitat A. Ash-Saki, M. Alam, S. Ghosh, QURE: qubit re-allocation in noisy intermediate-scale quantum computers, in Proceedings of the 56th Annual Design Automation Conference (2019), pp. 1–6 A. Ash-Saki, M. Alam, S. Ghosh, QURE: qubit re-allocation in noisy intermediate-scale quantum computers, in Proceedings of the 56th Annual Design Automation Conference (2019), pp. 1–6
Zurück zum Zitat D. Bhattacharjee, A. Ash Saki, M. Alam, A. Chattopadhyay, S. Ghosh, MUQUT: multi-constraint quantum circuit mapping on NISQ computers, in 38th IEEE/ACM International Conference on Computer-Aided Design, ICCAD 2019 (Institute of Electrical and Electronics Engineers Inc., 2019), p. 8942132 D. Bhattacharjee, A. Ash Saki, M. Alam, A. Chattopadhyay, S. Ghosh, MUQUT: multi-constraint quantum circuit mapping on NISQ computers, in 38th IEEE/ACM International Conference on Computer-Aided Design, ICCAD 2019 (Institute of Electrical and Electronics Engineers Inc., 2019), p. 8942132
Zurück zum Zitat D. Bhattacharjee, A. Chattopadhyay, Depth-optimal quantum circuit placement for arbitrary topologies (2017), arXiv preprint: arXiv:1703.08540 D. Bhattacharjee, A. Chattopadhyay, Depth-optimal quantum circuit placement for arbitrary topologies (2017), arXiv preprint: arXiv:​1703.​08540
Zurück zum Zitat J. Biamonte, P. Wittek, N. Pancotti, P. Rebentrost, N. Wiebe, S. Lloyd, Quantum machine learning. Nature 549(7671), 195–202 (2017) J. Biamonte, P. Wittek, N. Pancotti, P. Rebentrost, N. Wiebe, S. Lloyd, Quantum machine learning. Nature 549(7671), 195–202 (2017)
Zurück zum Zitat K.E.C. Booth, M. Do, J.C. Beck, E. Rieffel, D. Venturelli, J. Frank, Comparing and integrating constraint programming and temporal planning for quantum circuit compilation, in Twenty-Eighth International Conference on Automated Planning and Scheduling (2018) K.E.C. Booth, M. Do, J.C. Beck, E. Rieffel, D. Venturelli, J. Frank, Comparing and integrating constraint programming and temporal planning for quantum circuit compilation, in Twenty-Eighth International Conference on Automated Planning and Scheduling (2018)
Zurück zum Zitat A. Chakrabarti, S. Sur-Kolay, A. Chaudhury, Linear nearest neighbor synthesis of reversible circuits by graph partitioning (2011), arXiv preprint: arXiv:1112.0564 A. Chakrabarti, S. Sur-Kolay, A. Chaudhury, Linear nearest neighbor synthesis of reversible circuits by graph partitioning (2011), arXiv preprint: arXiv:​1112.​0564
Zurück zum Zitat J.I. Cirac, P. Zoller, Quantum computations with cold trapped ions. Phys. Rev. Lett. 74, 4091–4094 (1995)CrossRef J.I. Cirac, P. Zoller, Quantum computations with cold trapped ions. Phys. Rev. Lett. 74, 4091–4094 (1995)CrossRef
Zurück zum Zitat G.E. Crooks, Performance of the quantum approximate optimization algorithm on the maximum cut problem (2018), arXiv preprint: arXiv:1811.08419 G.E. Crooks, Performance of the quantum approximate optimization algorithm on the maximum cut problem (2018), arXiv preprint: arXiv:​1811.​08419
Zurück zum Zitat P.-L. Dallaire-Demers, N. Killoran, Quantum generative adversarial networks. Phys. Rev. A 98(1), 012324 (2018) P.-L. Dallaire-Demers, N. Killoran, Quantum generative adversarial networks. Phys. Rev. A 98(1), 012324 (2018)
Zurück zum Zitat D. Eppstein, Subgraph isomorphism in planar graphs and related problems, in Graph Algorithms And Applications I (World Scientific, 2002), pp. 283–309 D. Eppstein, Subgraph isomorphism in planar graphs and related problems, in Graph Algorithms And Applications I (World Scientific, 2002), pp. 283–309
Zurück zum Zitat E. Farhi, J. Goldstone, S. Gutmann, H. Neven, Quantum algorithms for fixed qubit architectures (2017), arXiv preprint: arXiv:1703.06199 E. Farhi, J. Goldstone, S. Gutmann, H. Neven, Quantum algorithms for fixed qubit architectures (2017), arXiv preprint: arXiv:​1703.​06199
Zurück zum Zitat M.X. Goemans, D.P. Williamson, Improved approximation algorithms for maximum cut and satisfiability problems using semidefinite programming. J. ACM (JACM) 42(6), 1115–1145 (1995)MathSciNetCrossRef M.X. Goemans, D.P. Williamson, Improved approximation algorithms for maximum cut and satisfiability problems using semidefinite programming. J. ACM (JACM) 42(6), 1115–1145 (1995)MathSciNetCrossRef
Zurück zum Zitat L.K. Grover, A fast quantum mechanical algorithm for database search, in Proceedings of the Twenty-Eighth Annual ACM Symposium on Theory of Computing (1996), pp. 212–219 L.K. Grover, A fast quantum mechanical algorithm for database search, in Proceedings of the Twenty-Eighth Annual ACM Symposium on Theory of Computing (1996), pp. 212–219
Zurück zum Zitat W. Hattori, S. Yamashita, Quantum circuit optimization by changing the gate order for 2d nearest neighbor architectures, in International Conference on Reversible Computation (Springer, 2018), pp. 228–243 W. Hattori, S. Yamashita, Quantum circuit optimization by changing the gate order for 2d nearest neighbor architectures, in International Conference on Reversible Computation (Springer, 2018), pp. 228–243
Zurück zum Zitat T. Itoko, R. Raymond, T. Imamichi, A. Matsuo, A.W. Cross, Quantum circuit compilers using gate commutation rules, in Proceedings of the 24th Asia and South Pacific Design Automation Conference (2019), pp. 191–196 T. Itoko, R. Raymond, T. Imamichi, A. Matsuo, A.W. Cross, Quantum circuit compilers using gate commutation rules, in Proceedings of the 24th Asia and South Pacific Design Automation Conference (2019), pp. 191–196
Zurück zum Zitat A. Kandala, A. Mezzacapo, K. Temme, M. Takita, M. Brink, J.M. Chow, J.M. Gambetta, Hardware efficient variational quantum eigensolver for small molecules and quantum magnets. Nature 549(7671), 242–246 (2017) A. Kandala, A. Mezzacapo, K. Temme, M. Takita, M. Brink, J.M. Chow, J.M. Gambetta, Hardware efficient variational quantum eigensolver for small molecules and quantum magnets. Nature 549(7671), 242–246 (2017)
Zurück zum Zitat R.M. Karp, Reducibility among combinatorial problems, in Complexity of Computer Computations (Springer, 1972), pp. 85–103 R.M. Karp, Reducibility among combinatorial problems, in Complexity of Computer Computations (Springer, 1972), pp. 85–103
Zurück zum Zitat E. Knill, D. Leibfried, R. Reichle, J. Britton, R.B. Blakestad, J.D. Jost, C. Langer, R. Ozeri, S. Seidelin, D.J. Wineland, Randomized benchmarking of quantum gates. Phys. Rev. A 77(1), 012307 (2008) E. Knill, D. Leibfried, R. Reichle, J. Britton, R.B. Blakestad, J.D. Jost, C. Langer, R. Ozeri, S. Seidelin, D.J. Wineland, Randomized benchmarking of quantum gates. Phys. Rev. A 77(1), 012307 (2008)
Zurück zum Zitat J. Koch, T.M. Yu, J. Gambetta, A.A. Houck, D.I. Schuster, J. Majer, A. Blais, M.H. Devoret, S.M. Girvin, R.J. Schoelkopf, Charge-insensitive qubit design derived from the cooper pair box. Phys. Rev. A 76, 042319 (2007) J. Koch, T.M. Yu, J. Gambetta, A.A. Houck, D.I. Schuster, J. Majer, A. Blais, M.H. Devoret, S.M. Girvin, R.J. Schoelkopf, Charge-insensitive qubit design derived from the cooper pair box. Phys. Rev. A 76, 042319 (2007)
Zurück zum Zitat J. Li, M. Alam, A. Ash-Saki, S. Ghosh, Hierarchical improvement of quantum approximate optimization algorithm for object detection, in 2020 21th International Symposium on Quality Electronic Design (ISQED) (IEEE, 2020), pp. 335–340 J. Li, M. Alam, A. Ash-Saki, S. Ghosh, Hierarchical improvement of quantum approximate optimization algorithm for object detection, in 2020 21th International Symposium on Quality Electronic Design (ISQED) (IEEE, 2020), pp. 335–340
Zurück zum Zitat G. Li, Y. Ding, Y. Xie, Tackling the qubit mapping problem for NISQ-era quantum devices, in Proceedings of the Twenty-Fourth International Conference on Architectural Support for Programming Languages and Operating Systems (2019), pp. 1001–1014 G. Li, Y. Ding, Y. Xie, Tackling the qubit mapping problem for NISQ-era quantum devices, in Proceedings of the Twenty-Fourth International Conference on Architectural Support for Programming Languages and Operating Systems (2019), pp. 1001–1014
Zurück zum Zitat A. Lye, R. Wille, R. Drechsler, Determining the minimal number of swap gates for multi-dimensional nearest neighbor quantum circuits, in The 20th Asia and South Pacific Design Automation Conference (IEEE, 2015), pp. 178–183 A. Lye, R. Wille, R. Drechsler, Determining the minimal number of swap gates for multi-dimensional nearest neighbor quantum circuits, in The 20th Asia and South Pacific Design Automation Conference (IEEE, 2015), pp. 178–183
Zurück zum Zitat D. Maslov, S.M. Falconer, M. Mosca, Quantum circuit placement. IEEE Trans. Comput.-Aided Des. Integr. Circuits Syst. 27(4), 752–763 (2008) D. Maslov, S.M. Falconer, M. Mosca, Quantum circuit placement. IEEE Trans. Comput.-Aided Des. Integr. Circuits Syst. 27(4), 752–763 (2008)
Zurück zum Zitat P. Murali, J.M. Baker, A. Javadi-Abhari, F.T. Chong, M. Martonosi, Noise-adaptive compiler mappings for noisy intermediate-scale quantum computers, in Proceedings of the Twenty-Fourth International Conference on Architectural Support for Programming Languages and Operating Systems (2019), pp. 1015–1029 P. Murali, J.M. Baker, A. Javadi-Abhari, F.T. Chong, M. Martonosi, Noise-adaptive compiler mappings for noisy intermediate-scale quantum computers, in Proceedings of the Twenty-Fourth International Conference on Architectural Support for Programming Languages and Operating Systems (2019), pp. 1015–1029
Zurück zum Zitat P. Murali, D.C. McKay, M. Martonosi, A. Javadi-Abhari, Software mitigation of crosstalk on noisy intermediate-scale quantum computers (2020), arXiv preprint: arXiv:2001.02826 P. Murali, D.C. McKay, M. Martonosi, A. Javadi-Abhari, Software mitigation of crosstalk on noisy intermediate-scale quantum computers (2020), arXiv preprint: arXiv:​2001.​02826
Zurück zum Zitat P. Murali, A. Javadi-Abhari, F.T. Chong, M. Martonosi, Formal constraint-based compilation for noisy intermediate-scale quantum systems. Microprocess. Microsyst. 66, 102–112 (2019)CrossRef P. Murali, A. Javadi-Abhari, F.T. Chong, M. Martonosi, Formal constraint-based compilation for noisy intermediate-scale quantum systems. Microprocess. Microsyst. 66, 102–112 (2019)CrossRef
Zurück zum Zitat Y. Nam, N.J. Ross, Y. Su, A.M. Childs, D. Maslov, Automated optimization of large quantum circuits with continuous parameters. NPJ Quantum Inf. 4(1), 1–12 (2018)CrossRef Y. Nam, N.J. Ross, Y. Su, A.M. Childs, D. Maslov, Automated optimization of large quantum circuits with continuous parameters. NPJ Quantum Inf. 4(1), 1–12 (2018)CrossRef
Zurück zum Zitat A. Oddi, R. Rasconi, Greedy randomized search for scalable compilation of quantum circuits, in International Conference on the Integration of Constraint Programming, Artificial Intelligence, and Operations Research (Springer, 2018), pp. 446–461 A. Oddi, R. Rasconi, Greedy randomized search for scalable compilation of quantum circuits, in International Conference on the Integration of Constraint Programming, Artificial Intelligence, and Operations Research (Springer, 2018), pp. 446–461
Zurück zum Zitat C. Paar, J. Pelzl, Understanding Cryptography: A Textbook for Students and Practitioners (Springer Science & Business Media, 2009) C. Paar, J. Pelzl, Understanding Cryptography: A Textbook for Students and Practitioners (Springer Science & Business Media, 2009)
Zurück zum Zitat A. Paler, On the influence of initial qubit placement during NISQ circuit compilation, in International Workshop on Quantum Technology and Optimization Problems (Springer, 2019), pp. 207–217 A. Paler, On the influence of initial qubit placement during NISQ circuit compilation, in International Workshop on Quantum Technology and Optimization Problems (Springer, 2019), pp. 207–217
Zurück zum Zitat C.H. Papadimitriou, M. Yannakakis, Optimization, approximation, and complexity classes. J. Comput. Syst. Sci. 43(3), 425–440 (1991)MathSciNetCrossRef C.H. Papadimitriou, M. Yannakakis, Optimization, approximation, and complexity classes. J. Comput. Syst. Sci. 43(3), 425–440 (1991)MathSciNetCrossRef
Zurück zum Zitat J. Preskill, Quantum computing in the NISQ era and beyond. Quantum 2, 79 (2018)CrossRef J. Preskill, Quantum computing in the NISQ era and beyond. Quantum 2, 79 (2018)CrossRef
Zurück zum Zitat L. Qiu, M. Alam, A. Ash-Saki, S. Ghosh, Analyzing resilience of variational quantum factoring under realistic noise, in Annual GOMACTech Conference, San Diego, CA, USA (2020) L. Qiu, M. Alam, A. Ash-Saki, S. Ghosh, Analyzing resilience of variational quantum factoring under realistic noise, in Annual GOMACTech Conference, San Diego, CA, USA (2020)
Zurück zum Zitat S. Ren, K. He, R. Girshick, J. Sun, Faster R-CNN: towards real-time object detection with region proposal networks, in Advances in Neural Information Processing Systems (2015) S. Ren, K. He, R. Girshick, J. Sun, Faster R-CNN: towards real-time object detection with region proposal networks, in Advances in Neural Information Processing Systems (2015)
Zurück zum Zitat S. Rujikietgumjorn, R.T. Collins, Optimized pedestrian detection for multiple and occluded people, in 2013 IEEE Conference on Computer Vision and Pattern Recognition (CVPR), June 2013, pp. 3690–3697 S. Rujikietgumjorn, R.T. Collins, Optimized pedestrian detection for multiple and occluded people, in 2013 IEEE Conference on Computer Vision and Pattern Recognition (CVPR), June 2013, pp. 3690–3697
Zurück zum Zitat M. Schuld, A. Bocharov, K.M. Svore, N. Wiebe, Circuit-centric quantum classifiers. Phys. Rev. A 101(3), 032308 (2020) M. Schuld, A. Bocharov, K.M. Svore, N. Wiebe, Circuit-centric quantum classifiers. Phys. Rev. A 101(3), 032308 (2020)
Zurück zum Zitat A. Shafaei, M. Saeedi, M. Pedram, Qubit placement to minimize communication overhead in 2d quantum architectures, in 2014 19th Asia and South Pacific Design Automation Conference (ASP-DAC) (IEEE, 2014), pp. 495–500 A. Shafaei, M. Saeedi, M. Pedram, Qubit placement to minimize communication overhead in 2d quantum architectures, in 2014 19th Asia and South Pacific Design Automation Conference (ASP-DAC) (IEEE, 2014), pp. 495–500
Zurück zum Zitat N.A. Sherwani, Algorithms for VLSI Physical Design Automation (Springer Science & Business Media, 2012) N.A. Sherwani, Algorithms for VLSI Physical Design Automation (Springer Science & Business Media, 2012)
Zurück zum Zitat P.W. Shor, Scheme for reducing decoherence in quantum computer memory. Phys. Rev. A 52(4), R2493 (1995)CrossRef P.W. Shor, Scheme for reducing decoherence in quantum computer memory. Phys. Rev. A 52(4), R2493 (1995)CrossRef
Zurück zum Zitat P.W. Shor, Polynomial-time algorithms for prime factorization and discrete logarithms on a quantum computer. SIAM Rev. 41(2), 303–332 (1999)MathSciNetCrossRef P.W. Shor, Polynomial-time algorithms for prime factorization and discrete logarithms on a quantum computer. SIAM Rev. 41(2), 303–332 (1999)MathSciNetCrossRef
Zurück zum Zitat M.Y. Siraichi, V.F. dos Santos, S. Collange, F.M.Q. Pereira, Qubit allocation, in Proceedings of the 2018 International Symposium on Code Generation and Optimization (2018), pp. 113–125 M.Y. Siraichi, V.F. dos Santos, S. Collange, F.M.Q. Pereira, Qubit allocation, in Proceedings of the 2018 International Symposium on Code Generation and Optimization (2018), pp. 113–125
Zurück zum Zitat M.Y. Siraichi, V.F. dos Santos, C. Collange, F.M.Q. Pereira. Qubit allocation as a combination of subgraph isomorphism and token swapping. Proc. ACM Programm. Lang. 3(OOPSLA), 1–29 (2019) M.Y. Siraichi, V.F. dos Santos, C. Collange, F.M.Q. Pereira. Qubit allocation as a combination of subgraph isomorphism and token swapping. Proc. ACM Programm. Lang. 3(OOPSLA), 1–29 (2019)
Zurück zum Zitat S.S. Tannu, M. Qureshi, Ensemble of diverse mappings: improving reliability of quantum computers by orchestrating dissimilar mistakes, in Proceedings of the 52nd Annual IEEE/ACM International Symposium on Microarchitecture (2019), pp. 253–265 S.S. Tannu, M. Qureshi, Ensemble of diverse mappings: improving reliability of quantum computers by orchestrating dissimilar mistakes, in Proceedings of the 52nd Annual IEEE/ACM International Symposium on Microarchitecture (2019), pp. 253–265
Zurück zum Zitat S.S. Tannu, M.K. Qureshi, Not all qubits are created equal: a case for variability-aware policies for NISQ-era quantum computers, in Proceedings of the Twenty-Fourth International Conference on Architectural Support for Programming Languages and Operating Systems (2019), pp. 987–999 S.S. Tannu, M.K. Qureshi, Not all qubits are created equal: a case for variability-aware policies for NISQ-era quantum computers, in Proceedings of the Twenty-Fourth International Conference on Architectural Support for Programming Languages and Operating Systems (2019), pp. 987–999
Zurück zum Zitat D. Venturelli, M. Do, E.G. Rieffel, J. Frank, Temporal planning for compilation of quantum approximate optimization circuits. IJCAI 4440–4446 (2017) D. Venturelli, M. Do, E.G. Rieffel, J. Frank, Temporal planning for compilation of quantum approximate optimization circuits. IJCAI 4440–4446 (2017)
Zurück zum Zitat D. Venturelli, M. Do, E. Rieffel, J. Frank, Compiling quantum circuits to realistic hardware architectures using temporal planners. Quantum Sci. Technol. 3(2), 025004 (2018) D. Venturelli, M. Do, E. Rieffel, J. Frank, Compiling quantum circuits to realistic hardware architectures using temporal planners. Quantum Sci. Technol. 3(2), 025004 (2018)
Zurück zum Zitat D. Wecker, M.B. Hastings, M. Troyer, Training a quantum optimizer. Phys. Rev. A 94(2), 022309 (2016) D. Wecker, M.B. Hastings, M. Troyer, Training a quantum optimizer. Phys. Rev. A 94(2), 022309 (2016)
Zurück zum Zitat R. Wille, L. Burgholzer, A. Zulehner, Mapping quantum circuits to IBM QX architectures using the minimal number of swap and h operations, in 2019 56th ACM/IEEE Design Automation Conference (DAC) (IEEE, 2019), pp. 1–6 R. Wille, L. Burgholzer, A. Zulehner, Mapping quantum circuits to IBM QX architectures using the minimal number of swap and h operations, in 2019 56th ACM/IEEE Design Automation Conference (DAC) (IEEE, 2019), pp. 1–6
Zurück zum Zitat R. Wille, A. Lye, R. Drechsler, Optimal swap gate insertion for nearest neighbor quantum circuits, in 2014 19th Asia and South Pacific Design Automation Conference (ASP-DAC) (IEEE, 2014), pp. 489–494 R. Wille, A. Lye, R. Drechsler, Optimal swap gate insertion for nearest neighbor quantum circuits, in 2014 19th Asia and South Pacific Design Automation Conference (ASP-DAC) (IEEE, 2014), pp. 489–494
Zurück zum Zitat C. Xue, Z.-Y. Chen, Y.-C. Wu, G.-P. Guo, Effects of quantum noise on quantum approximate optimization algorithm (2019), arXiv preprint: arXiv:1909.02196 C. Xue, Z.-Y. Chen, Y.-C. Wu, G.-P. Guo, Effects of quantum noise on quantum approximate optimization algorithm (2019), arXiv preprint: arXiv:​1909.​02196
Zurück zum Zitat L. Zhou et al., Quantum approximate optimization algorithm: performance, mechanism, and implementation on near-term devices (2018), arXiv preprint: arXiv:1812.01041 L. Zhou et al., Quantum approximate optimization algorithm: performance, mechanism, and implementation on near-term devices (2018), arXiv preprint: arXiv:​1812.​01041
Zurück zum Zitat A. Zulehner, A. Paler, R. Wille, An efficient methodology for mapping quantum circuits to the IBM QX architectures. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 38(7), 1226–1236 (2018) A. Zulehner, A. Paler, R. Wille, An efficient methodology for mapping quantum circuits to the IBM QX architectures. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 38(7), 1226–1236 (2018)
Zurück zum Zitat A. Zulehner, H. Bauer, R. Wille, Evaluating the flexibility of a* for mapping quantum circuits, in Reversible Computation, ed. by M.K. Thomsen, M. Soeken (Springer International Publishing, Cham, 2019), pp. 171–190 A. Zulehner, H. Bauer, R. Wille, Evaluating the flexibility of a* for mapping quantum circuits, in Reversible Computation, ed. by M.K. Thomsen, M. Soeken (Springer International Publishing, Cham, 2019), pp. 171–190
Metadaten
Titel
Error-Tolerant Mapping for Quantum Computing
verfasst von
Abdullah Ash Saki
Mahabubul Alam
Junde Li
Swaroop Ghosh
Copyright-Jahr
2023
Verlag
Springer Nature Singapore
DOI
https://doi.org/10.1007/978-981-16-7487-7_12