Skip to main content
Erschienen in: The Journal of Supercomputing 6/2022

07.01.2022

LETHOR: a thermal-aware proactive routing algorithm for 3D NoCs with less entrance to hot regions

verfasst von: Maede Safari, Zahra Shirmohammadi, Nezam Rohbani, Hamed Farbeh

Erschienen in: The Journal of Supercomputing | Ausgabe 6/2022

Einloggen

Aktivieren Sie unsere intelligente Suche, um passende Fachinhalte oder Patente zu finden.

search-config
loading …

Abstract

Although many Dynamic Thermal Management (DTM) techniques are employed to overcome thermal problems in 3D NoCs, none of them consider temperature information of all nodes of a layer at the same time, so that they cannot reduce the temperature of the network properly.To overcome this problem, this paper proposes an efficient proactive thermal-aware routing algorithm, called Less Entrance to Hot Regions (LETHOR), to keep the NoC temperature lower than a predefined thermal limit. LETHOR routes the network packets based on the temperature information of all nodes in the layers instead of considering only the neighbor nodes in each hop. To this aim, LETHOR introduces a Hot Region in each layer based on the gathered temperature information to determine the suitable routing path. Based on the gathered information, LETHOR examines available paths and selects the cooler and less crowded one. Experimental results show that the LETHOR routing algorithm decreases the standard deviation of chip temperature by 13.24–47.40% and the statistical traffic load distribution by 13.62–48.34%, under different traffic patterns. Moreover, the global average delay is reduced by 23.07–44.13% under different traffic patterns compared to the state-of-the-art routing algorithm.

Sie haben noch keine Lizenz? Dann Informieren Sie sich jetzt über unsere Produkte:

Springer Professional "Wirtschaft"

Online-Abonnement

Mit Springer Professional "Wirtschaft" erhalten Sie Zugriff auf:

  • über 67.000 Bücher
  • über 340 Zeitschriften

aus folgenden Fachgebieten:

  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Finance + Banking
  • Management + Führung
  • Marketing + Vertrieb
  • Versicherung + Risiko




Jetzt Wissensvorsprung sichern!

Springer Professional "Technik"

Online-Abonnement

Mit Springer Professional "Technik" erhalten Sie Zugriff auf:

  • über 67.000 Bücher
  • über 390 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Maschinenbau + Werkstoffe




 

Jetzt Wissensvorsprung sichern!

Springer Professional "Wirtschaft+Technik"

Online-Abonnement

Mit Springer Professional "Wirtschaft+Technik" erhalten Sie Zugriff auf:

  • über 102.000 Bücher
  • über 537 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Finance + Banking
  • Management + Führung
  • Marketing + Vertrieb
  • Maschinenbau + Werkstoffe
  • Versicherung + Risiko

Jetzt Wissensvorsprung sichern!

Literatur
1.
Zurück zum Zitat Lee SC, Han TH (2020) Q-function-based traffic-and thermal-aware adaptive routing for 3d network-on-chip. Electronics 9(3):392–409CrossRef Lee SC, Han TH (2020) Q-function-based traffic-and thermal-aware adaptive routing for 3d network-on-chip. Electronics 9(3):392–409CrossRef
2.
Zurück zum Zitat Halavar B, Talawar Basavaraj (2020) Power and performance analysis of 3d network-on-chip architectures. Comput Electr Eng 83(1):1–12 Halavar B, Talawar Basavaraj (2020) Power and performance analysis of 3d network-on-chip architectures. Comput Electr Eng 83(1):1–12
3.
Zurück zum Zitat Fu Y, Chen Q, He G, Chen K, Lut Z, Zhang C, Li L (2019) Congestion-aware dynamic elevator assignment for partially connected 3d-nocs. In: IEEE International Symposium on Circuits and Systems (ISCAS), pp. 1–5 Fu Y, Chen Q, He G, Chen K, Lut Z, Zhang C, Li L (2019) Congestion-aware dynamic elevator assignment for partially connected 3d-nocs. In: IEEE International Symposium on Circuits and Systems (ISCAS), pp. 1–5
4.
Zurück zum Zitat Charif A, Coelho A, Ebrahimi M, Bagherzadeh N, Zergainoh NE (2018) First-last: a cost-effective adaptive routing solution for tsv-based three-dimensional networks-on-chip. IEEE Trans Comput (TC) 67(10):1430–1444MathSciNetCrossRef Charif A, Coelho A, Ebrahimi M, Bagherzadeh N, Zergainoh NE (2018) First-last: a cost-effective adaptive routing solution for tsv-based three-dimensional networks-on-chip. IEEE Trans Comput (TC) 67(10):1430–1444MathSciNetCrossRef
5.
Zurück zum Zitat Salamat R, Khayambashi M, Ebrahimi M, Bagherzadeh N (2018) Lead: an adaptive 3d-noc routing algorithm with queuing-theory based analytical verification. IEEE Trans Comput(TC) 67(8):1153–1166MathSciNetMATH Salamat R, Khayambashi M, Ebrahimi M, Bagherzadeh N (2018) Lead: an adaptive 3d-noc routing algorithm with queuing-theory based analytical verification. IEEE Trans Comput(TC) 67(8):1153–1166MathSciNetMATH
6.
Zurück zum Zitat Zou T, Zhang C, Peng X, Peng Y (2018) An inter-layer-distance based routing algorithm for 3d network-on-chip. In: CCF National Conference on Computer Engineering and Technology, pp. 26–37 Zou T, Zhang C, Peng X, Peng Y (2018) An inter-layer-distance based routing algorithm for 3d network-on-chip. In: CCF National Conference on Computer Engineering and Technology, pp. 26–37
7.
Zurück zum Zitat Sivakumar P, Pandiaraj K, JeyaPrakash K (2019) Optimization of thermal aware multilevel routing for 3d ic. Analog Integr Circuits Signal Process 103(1):131–142CrossRef Sivakumar P, Pandiaraj K, JeyaPrakash K (2019) Optimization of thermal aware multilevel routing for 3d ic. Analog Integr Circuits Signal Process 103(1):131–142CrossRef
8.
Zurück zum Zitat Taheri E, Mohammadi K, Patooghy Ahmad (2019) On-off: a reactive routing algorithm for dynamic thermal management in 3d nocs. IET Comput Dig Tech (CDT) 13(1):11–19CrossRef Taheri E, Mohammadi K, Patooghy Ahmad (2019) On-off: a reactive routing algorithm for dynamic thermal management in 3d nocs. IET Comput Dig Tech (CDT) 13(1):11–19CrossRef
9.
Zurück zum Zitat Majumdar A, Dash Ranjita K, Risco-Martnn Jos L, Turuk Ashok K (2018) Fmotar: a fast multi-objective thermal-aware routing algorithm for three-dimensional network-on-chips. In: Proceeding of the 50th Computer Simulation Conference, Society for Computer Simulation International (SummerSim), pp. 12–24 Majumdar A, Dash Ranjita K, Risco-Martnn Jos L, Turuk Ashok K (2018) Fmotar: a fast multi-objective thermal-aware routing algorithm for three-dimensional network-on-chips. In: Proceeding of the 50th Computer Simulation Conference, Society for Computer Simulation International (SummerSim), pp. 12–24
10.
Zurück zum Zitat Liu CY, Chen YJ, Hariyama M (2020) Thermal-aware memory system synthesis for mpsocs with 3d-stacked hybrid memories. In: Proceedings of the 35th annual ACM symposium on applied computing (SAC), pp. 546–553 Liu CY, Chen YJ, Hariyama M (2020) Thermal-aware memory system synthesis for mpsocs with 3d-stacked hybrid memories. In: Proceedings of the 35th annual ACM symposium on applied computing (SAC), pp. 546–553
11.
Zurück zum Zitat Dash R, Majumdar A, Pangracious V, Turuk AK, Risco-Martn J L (2018) Atar: an adaptive thermal-aware routing algorithm for 3-d network-on-chip systems. IEEE Trans Compon Packag Manuf Tech (TCPMT) 8(12):1–8CrossRef Dash R, Majumdar A, Pangracious V, Turuk AK, Risco-Martn J L (2018) Atar: an adaptive thermal-aware routing algorithm for 3-d network-on-chip systems. IEEE Trans Compon Packag Manuf Tech (TCPMT) 8(12):1–8CrossRef
12.
Zurück zum Zitat Li B, Wang X, Singh AK, Mak T (2019) On runtime communication and thermal-aware application mapping and defragmentation in 3d noc systems. IEEE Trans Parallel Distrib Syst (TPDS) 30(12):2775–2789CrossRef Li B, Wang X, Singh AK, Mak T (2019) On runtime communication and thermal-aware application mapping and defragmentation in 3d noc systems. IEEE Trans Parallel Distrib Syst (TPDS) 30(12):2775–2789CrossRef
13.
Zurück zum Zitat Rohbani N, Shirmohammadi Z, Zare M, Miremadi Seyyed-Ghassem (2017) Laxy: a location-based aging-resilient xy-yx routing algorithm for network on chip. IEEE Trans Comput-Aided Des Integr Circuits Syst(TCAD) 36(10):1725–1738CrossRef Rohbani N, Shirmohammadi Z, Zare M, Miremadi Seyyed-Ghassem (2017) Laxy: a location-based aging-resilient xy-yx routing algorithm for network on chip. IEEE Trans Comput-Aided Des Integr Circuits Syst(TCAD) 36(10):1725–1738CrossRef
14.
Zurück zum Zitat Shirmohammadi Z, Miremadi SG (2014) Using binary-reflected gray coding for crosstalk mitigation of network on chip. In: The 17th CSI International Symposium on Computer Architecture & Digital Systems (CADS 2013), pp 81–86  Shirmohammadi Z,  Miremadi SG (2014) Using binary-reflected gray coding for crosstalk mitigation of network on chip. In: The 17th CSI International Symposium on Computer Architecture & Digital Systems (CADS 2013), pp 81–86
15.
Zurück zum Zitat Shirmohammadi Z, Miremadi SG (2015) Addressing NoC reliability through an efficient fibonaccibased crosstalk avoidance codec design. In: Proceedings of IEEE International Conference Algorithms and Architectures for Parallel Proc, pp 756–770 Shirmohammadi Z, Miremadi SG (2015) Addressing NoC reliability through an efficient fibonaccibased crosstalk avoidance codec design. In: Proceedings of IEEE International Conference Algorithms and Architectures for Parallel Proc, pp 756–770
16.
Zurück zum Zitat Shirmohammadi Z, Mahdavi Z (2018) An efficient and low power one-lambda crosstalk avoidance code design for network on chips. In: Microprocessors and Microsystems (MICPRO), vol 63 Shirmohammadi Z, Mahdavi Z (2018) An efficient and low power one-lambda crosstalk avoidance code design for network on chips. In: Microprocessors and Microsystems (MICPRO), vol 63
17.
Zurück zum Zitat Manna K, Mathew J (2020) Thermal-aware application mapping strategy for designing a 2d noc-based multi-core systems. In: Design and test strategies for 2D/3D integration for NoC-based multicore architectures, pp. 87–109 Manna K, Mathew J (2020) Thermal-aware application mapping strategy for designing a 2d noc-based multi-core systems. In: Design and test strategies for 2D/3D integration for NoC-based multicore architectures, pp. 87–109
18.
Zurück zum Zitat Manna K, Mathew J (2020) Thermal-aware design strategies for the 3d noc-based multi-core systems. In: Design and test strategies for 2D/3D integration for NoC-based multicore architectures, pp. 111–123 Manna K, Mathew J (2020) Thermal-aware design strategies for the 3d noc-based multi-core systems. In: Design and test strategies for 2D/3D integration for NoC-based multicore architectures, pp. 111–123
19.
Zurück zum Zitat Shang L, Peh LS, Kumar A, Jha Niraj K (2004) Thermal Modeling, Characterization and management of on-chip networks. In: Proceedings of the 37th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO), pp. 67–78 Shang L, Peh LS, Kumar A, Jha Niraj K (2004) Thermal Modeling, Characterization and management of on-chip networks. In: Proceedings of the 37th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO), pp. 67–78
20.
Zurück zum Zitat Bharathi S, Suganthi M, Gowrison Gengavel (2020) Crosstalk minimization in network on chip (noc) links with dual binary weighted code codec. J Ambient Intell Humaniz Comput 12(5):4603–4608 Bharathi S, Suganthi M, Gowrison Gengavel (2020) Crosstalk minimization in network on chip (noc) links with dual binary weighted code codec. J Ambient Intell Humaniz Comput 12(5):4603–4608
21.
Zurück zum Zitat Taheri E, Patooghy A, Karim M (2016) Xyz-zyx: a minimal routing algorithm for dynamic thermal management in 3d nocs. In: 24th Iranian Conference on Electrical Engineering (ICEE), pp 1539–1534 Taheri E, Patooghy A, Karim M (2016) Xyz-zyx: a minimal routing algorithm for dynamic thermal management in 3d nocs. In: 24th Iranian Conference on Electrical Engineering (ICEE), pp 1539–1534
22.
Zurück zum Zitat Majumdar A, Dash Ranjita K, Pangracious V, Turuk Ashok K (2017) An efficient multi-objective thermal aware routing algorithm 3d network-on-chips. In: International Conference on Electrical and Computing Technologies and Applications (ICECTA), pp. 1–4 Majumdar A, Dash Ranjita K, Pangracious V, Turuk Ashok K (2017) An efficient multi-objective thermal aware routing algorithm 3d network-on-chips. In: International Conference on Electrical and Computing Technologies and Applications (ICECTA), pp. 1–4
23.
Zurück zum Zitat Salamat R, Khayambashi M, Ebrahimi M, Bagherzadeh N (2016) A resilient routing algorithm with formal reliability analysis for partially connected 3d-nocs. IEEE Trans Comput (TC) 65(11):3265–3279MathSciNetCrossRef Salamat R, Khayambashi M, Ebrahimi M, Bagherzadeh N (2016) A resilient routing algorithm with formal reliability analysis for partially connected 3d-nocs. IEEE Trans Comput (TC) 65(11):3265–3279MathSciNetCrossRef
24.
Zurück zum Zitat Lin SY, Yin TC, Wang HY, An-Yeu W (2011) Traffic-and thermal-aware routing for throttled three-dimensional network-on-chip systems. In: Proceedings of the IEEE International Symposium on VLSI Design, Automation and Test (VLSI-DAT), pp. 1–4 Lin SY, Yin TC, Wang HY, An-Yeu W (2011) Traffic-and thermal-aware routing for throttled three-dimensional network-on-chip systems. In: Proceedings of the IEEE International Symposium on VLSI Design, Automation and Test (VLSI-DAT), pp. 1–4
25.
Zurück zum Zitat Chao CH, Chen KC, Yin TC, Lin SY, An-Yeu Wu (2013) Transport-layer-assisted routing for runtime thermal management of 3d noc systems. ACM Trans Embed Comput Syst (TECS) 13(1):11–22 Chao CH, Chen KC, Yin TC, Lin SY, An-Yeu Wu (2013) Transport-layer-assisted routing for runtime thermal management of 3d noc systems. ACM Trans Embed Comput Syst (TECS) 13(1):11–22
26.
Zurück zum Zitat Chen KC, Lin SY, Hung HS, Wu AY (2012) Traffic-balanced topology-aware multiple routing adjustment for throttled 3d noc systems. In: Proceedings of the IEEE Workshop on Signal Processing Systems (SiPS), pp. 120–124 Chen KC, Lin SY, Hung HS, Wu AY (2012) Traffic-balanced topology-aware multiple routing adjustment for throttled 3d noc systems. In: Proceedings of the IEEE Workshop on Signal Processing Systems (SiPS), pp. 120–124
27.
Zurück zum Zitat Chao CH, Jheng KY, Wang HY, Wu JC, Wu AY (2010) Traffic- and thermal-aware run-time thermal management scheme for 3d noc system. In: Proceedings of the ACM/IEEE International Symposium on Networks-On-Chip (NOCS), pp. 223–230 Chao CH, Jheng KY, Wang HY, Wu JC, Wu AY (2010) Traffic- and thermal-aware run-time thermal management scheme for 3d noc system. In: Proceedings of the ACM/IEEE International Symposium on Networks-On-Chip (NOCS), pp. 223–230
28.
Zurück zum Zitat Chen KC, Kuo CC, Hung HS, Wu AY (2013) Traffic- and thermal-aware adaptive beltway routing for three dimensional network-on-chip systems. In: Proceedings of the IEEE International Symposium on Circuits and Systems (ISCAS), pp. 1660–1663 Chen KC, Kuo CC, Hung HS, Wu AY (2013) Traffic- and thermal-aware adaptive beltway routing for three dimensional network-on-chip systems. In: Proceedings of the IEEE International Symposium on Circuits and Systems (ISCAS), pp. 1660–1663
29.
Zurück zum Zitat Al-Dujaily R, Dahir N, Mak T, Xia F, Yakovlev A (2013) Dynamic programming-based runtime thermal management (dprtm): an online thermal control strategy for 3d-noc systems. ACM Trans Des Autom Electron Syst (TODAES) 19(1):11–22 Al-Dujaily R, Dahir N, Mak T, Xia F, Yakovlev A (2013) Dynamic programming-based runtime thermal management (dprtm): an online thermal control strategy for 3d-noc systems. ACM Trans Des Autom Electron Syst (TODAES) 19(1):11–22
30.
Zurück zum Zitat Chen Kun-Chih (2018) Game-based thermal-delay-aware adaptive routing (gtdar) for temperature-aware 3d network-on-chip systems. IEEE Trans Parallel Distrib Syst 29(9):1–16 Chen Kun-Chih (2018) Game-based thermal-delay-aware adaptive routing (gtdar) for temperature-aware 3d network-on-chip systems. IEEE Trans Parallel Distrib Syst 29(9):1–16
31.
Zurück zum Zitat Jheng KY, Chao CH, Wang HY, Wu AY (2010) Traffic-thermal mutual-coupling co-simulation platform for three-dimensional network-on-chip. In: Proceedings of the IEEE International Symposium on VLSI Design, Automation, and Test (VLSI–DAT), pp. 135–13 Jheng KY, Chao CH, Wang HY, Wu AY (2010) Traffic-thermal mutual-coupling co-simulation platform for three-dimensional network-on-chip. In: Proceedings of the IEEE International Symposium on VLSI Design, Automation, and Test (VLSI–DAT), pp. 135–13
32.
Zurück zum Zitat Lee SC, HanHan Tae Hee (2020) Q-function-based traffic-and thermal-aware adaptive routing for 3d network-on-chip. Electronics 9(3):392–409CrossRef Lee SC, HanHan Tae Hee (2020) Q-function-based traffic-and thermal-aware adaptive routing for 3d network-on-chip. Electronics 9(3):392–409CrossRef
33.
Zurück zum Zitat Safari M, Shirmohammadi Z, Rohbani N, Farbeh H (2018) Floating xy-yx: an efficient thermal management routing algorithm for 3d nocs. In: Proceeding of the 16th IEEE International Conference on Dependable, Autonomic and Secure Computing (DASC), pp. 1–6 Safari M, Shirmohammadi Z, Rohbani N, Farbeh H (2018) Floating xy-yx: an efficient thermal management routing algorithm for 3d nocs. In: Proceeding of the 16th IEEE International Conference on Dependable, Autonomic and Secure Computing (DASC), pp. 1–6
34.
Zurück zum Zitat Matsutani H, Koibuchi M, Amano H (2007) Tightly-coupled multi-layer topologies for 3-d nocs. In: International Conference on Parallel Processing (ICPP), pp. 75–85 Matsutani H, Koibuchi M, Amano H (2007) Tightly-coupled multi-layer topologies for 3-d nocs. In: International Conference on Parallel Processing (ICPP), pp. 75–85
35.
Zurück zum Zitat Catania V, Mineo A, Monteleone S, Palesi M, Patti D (2016) Cycle-accurate network on chip simulation with noxim. ACM Trans Model Comput Simul (TOMACS) 27(1):1–25CrossRef Catania V, Mineo A, Monteleone S, Palesi M, Patti D (2016) Cycle-accurate network on chip simulation with noxim. ACM Trans Model Comput Simul (TOMACS) 27(1):1–25CrossRef
36.
Zurück zum Zitat Huang W, Sankaranarayanan K, Ribando Robert J, Stan Mircea R, Skadron K (2007) An improved block-based thermal model in hotspot 4.0 with granularity considerations. In: In proceedings of the Workshop on Duplicating, Deconstructing, and Debunking, pp. 135–138 Huang W, Sankaranarayanan K, Ribando Robert J, Stan Mircea R, Skadron K (2007) An improved block-based thermal model in hotspot 4.0 with granularity considerations. In: In proceedings of the Workshop on Duplicating, Deconstructing, and Debunking, pp. 135–138
37.
Zurück zum Zitat Hoskote AM, Vangal K, Singh Kameswar R, Borkar P (2007) A 5-ghz mesh interconnect for a teraflops processor. In IEEE Micro, pp. 51–61 Hoskote AM, Vangal K, Singh Kameswar R, Borkar P (2007) A 5-ghz mesh interconnect for a teraflops processor. In IEEE Micro, pp. 51–61
38.
Zurück zum Zitat Liu W, Xu Jiang X, Wu X, Ye Y, Wang X, Zhang W, Nikdast M, Wang Z (2011) A noc traffic suite based on real applications. In: IEEE Computer Society Annual Symposium on VLSI (ISVLSI), pp. 66–71 Liu W, Xu Jiang X, Wu X, Ye Y, Wang X, Zhang W, Nikdast M, Wang Z (2011) A noc traffic suite based on real applications. In: IEEE Computer Society Annual Symposium on VLSI (ISVLSI), pp. 66–71
39.
Zurück zum Zitat Bahmani M, Sheibanyrad A, Petrot F, Dubois F, Durante P (2012) A 3d-noc router implementation exploiting vertically-partially-connected topologies. In: IEEE Computer Society Annual Symposium on VLSI, pp. 9–14 Bahmani M, Sheibanyrad A, Petrot F, Dubois F, Durante P (2012) A 3d-noc router implementation exploiting vertically-partially-connected topologies. In: IEEE Computer Society Annual Symposium on VLSI, pp. 9–14
Metadaten
Titel
LETHOR: a thermal-aware proactive routing algorithm for 3D NoCs with less entrance to hot regions
verfasst von
Maede Safari
Zahra Shirmohammadi
Nezam Rohbani
Hamed Farbeh
Publikationsdatum
07.01.2022
Verlag
Springer US
Erschienen in
The Journal of Supercomputing / Ausgabe 6/2022
Print ISSN: 0920-8542
Elektronische ISSN: 1573-0484
DOI
https://doi.org/10.1007/s11227-021-04207-3

Weitere Artikel der Ausgabe 6/2022

The Journal of Supercomputing 6/2022 Zur Ausgabe

Premium Partner