Skip to main content
Erschienen in: Computing 8/2021

16.01.2021 | Regular Paper

FPGA friendly NoC simulation acceleration framework employing the hard blocks

verfasst von: B. M. Prabhu Prasad, Khyamling Parane, Basavaraj Talawar

Erschienen in: Computing | Ausgabe 8/2021

Einloggen

Aktivieren Sie unsere intelligente Suche, um passende Fachinhalte oder Patente zu finden.

search-config
loading …

Abstract

A major role is played by Modeling and Simulation platforms in development of a new Network-on-Chip (NoC) architecture. The cycle accurate software simulators tend to become slow when simulating thousands of cores on a single chip. FPGAs have become the vehicle for simulation acceleration due to the properties of parallelism. Most of the state-of-the-art FPGA based NoC simulators utilize soft logic only for modeling the NoCs, leaving out the hard blocks to be unutilized. In this work, the FIFO Buffer and Crossbar switch functionalities of the NoC router have been embedded in the Block RAM (BRAMs) and the DSP48E1 slices with large multiplexer respectively. Employing the proposed techniques of mapping the NoC router components on the FPGA hard blocks, an NoC simulation acceleration framework based on the FPGA is presented in this work. A huge reduction in the use of the Configurable Logic Blocks (CLBs) has been observed when the FIFO buffer and Crossbar components of the NoC topology’s router micro-architecture are embedded in FPGA hard blocks. Our experimental results show that the topologies implemented employing the proposed FPGA friendly mapping of the NoC router components on the hard blocks consume 43.47% fewer LUTs and 41.66% fewer FFs than the topologies with CLB implementation. To optimize the latency of the NoC under consideration, a control unit called “buf_empty_checker” has been employed. A reduction in average latency has been observed compared to the CLB based topology implementation employing the proposed mapping. The proposed work consumes 10.88% fewer LUTs than the CONNECT NoC generation tool. Compared to DART, a reduction of 73.38% and 66.55% in LUTs and FFs has been observed with respect to the proposed work. The average packet latency of the proposed NoC architecture is 24.8% and 19.1% lesser than the CONNECT and DART architectures.

Sie haben noch keine Lizenz? Dann Informieren Sie sich jetzt über unsere Produkte:

Springer Professional "Wirtschaft"

Online-Abonnement

Mit Springer Professional "Wirtschaft" erhalten Sie Zugriff auf:

  • über 67.000 Bücher
  • über 340 Zeitschriften

aus folgenden Fachgebieten:

  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Finance + Banking
  • Management + Führung
  • Marketing + Vertrieb
  • Versicherung + Risiko




Jetzt Wissensvorsprung sichern!

Springer Professional "Technik"

Online-Abonnement

Mit Springer Professional "Technik" erhalten Sie Zugriff auf:

  • über 67.000 Bücher
  • über 390 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Maschinenbau + Werkstoffe




 

Jetzt Wissensvorsprung sichern!

Springer Professional "Wirtschaft+Technik"

Online-Abonnement

Mit Springer Professional "Wirtschaft+Technik" erhalten Sie Zugriff auf:

  • über 102.000 Bücher
  • über 537 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Finance + Banking
  • Management + Führung
  • Marketing + Vertrieb
  • Maschinenbau + Werkstoffe
  • Versicherung + Risiko

Jetzt Wissensvorsprung sichern!

Literatur
1.
Zurück zum Zitat Dally WJ, Towles B (2001) Route packets, not wires: on-chip interconnection networks. In: Proceedings of the 38th Design Automation Conference (IEEE Cat. No.01CH37232), pp 684–689 Dally WJ, Towles B (2001) Route packets, not wires: on-chip interconnection networks. In: Proceedings of the 38th Design Automation Conference (IEEE Cat. No.01CH37232), pp 684–689
3.
Zurück zum Zitat Agarwal N, Krishna T, Peh LS, Jha N (2009) GARNET: A detailed on-chip network model inside a full-system simulator. ISPASS 2009:33–42 Agarwal N, Krishna T, Peh LS, Jha N (2009) GARNET: A detailed on-chip network model inside a full-system simulator. ISPASS 2009:33–42
4.
Zurück zum Zitat Jiang N, Becker DU, Michelogiannakis G, Balfour J, Towles B, Shaw DE, Kim J, Dally WJ (2013) A detailed and flexible cycle-accurate Network-on-Chip simulator. In: 2013 IEEE international symposium on performance analysis of systems and software (ISPASS), pp 86–96 Jiang N, Becker DU, Michelogiannakis G, Balfour J, Towles B, Shaw DE, Kim J, Dally WJ (2013) A detailed and flexible cycle-accurate Network-on-Chip simulator. In: 2013 IEEE international symposium on performance analysis of systems and software (ISPASS), pp 86–96
5.
Zurück zum Zitat Kahng AB, Li B, Ls Peh (2010) ORION 2.0: a power-area simulator for interconnection networks. TVLSI XX(1):1–5 Kahng AB, Li B, Ls Peh (2010) ORION 2.0: a power-area simulator for interconnection networks. TVLSI XX(1):1–5
6.
Zurück zum Zitat Puente V, Gregorio JA, Beivide R (2002) SICOSYS: an integrated framework for studying interconnection network performance in multiprocessor systems. In: Proceedings 10th Euromicro Workshop on Parallel, Distributed and Network-based Processing, pp 15–22, https://doi.org/10.1109/EMPDP.2002.994207 Puente V, Gregorio JA, Beivide R (2002) SICOSYS: an integrated framework for studying interconnection network performance in multiprocessor systems. In: Proceedings 10th Euromicro Workshop on Parallel, Distributed and Network-based Processing, pp 15–22, https://​doi.​org/​10.​1109/​EMPDP.​2002.​994207
7.
Zurück zum Zitat Abad P, Prieto P, Menezo LG, Colaso A, Puente V, Gregorio J (2012) Topaz: An open-source interconnection network simulator for chip multiprocessors and supercomputers. In: 2012 IEEE/ACM sixth international symposium on networks-on-Chip, pp 99–106 Abad P, Prieto P, Menezo LG, Colaso A, Puente V, Gregorio J (2012) Topaz: An open-source interconnection network simulator for chip multiprocessors and supercomputers. In: 2012 IEEE/ACM sixth international symposium on networks-on-Chip, pp 99–106
8.
Zurück zum Zitat Ababei C, Mastronarde N (2014) Benefits and costs of prediction based dvfs for nocs at router level. In: 2014 27th IEEE International System-on-Chip Conference (SOCC), pp 255–260 Ababei C, Mastronarde N (2014) Benefits and costs of prediction based dvfs for nocs at router level. In: 2014 27th IEEE International System-on-Chip Conference (SOCC), pp 255–260
9.
Zurück zum Zitat Ben-Itzhak Y, Zahavi E, Cidon I, Kolodny A (2012) Hnocs: Modular open-source simulator for heterogeneous nocs. In: SAMOS 2012, International Conference on Embedded Computer Systems: Architectures, Modeling, and Simulation., IEEE Ben-Itzhak Y, Zahavi E, Cidon I, Kolodny A (2012) Hnocs: Modular open-source simulator for heterogeneous nocs. In: SAMOS 2012, International Conference on Embedded Computer Systems: Architectures, Modeling, and Simulation., IEEE
11.
Zurück zum Zitat Wang J, Huang Y, Ebrahimi M, Huang L, Li Q, Jantsch A, Li G (2016) VisualNoC: A Visualization and Evaluation Environment for Simulation and Mapping, in: Proceedings of the Third ACM International Workshop on Many-Core Embedded Systems, MES 16, Association for Computing Machinery, New York, NY, USA, p 1825. https://doi.org/10.1145/2934495. 2949544 Wang J, Huang Y, Ebrahimi M, Huang L, Li Q, Jantsch A, Li G (2016) VisualNoC: A Visualization and Evaluation Environment for Simulation and Mapping, in: Proceedings of the Third ACM International Workshop on Many-Core Embedded Systems, MES 16, Association for Computing Machinery, New York, NY, USA, p 1825. https://​doi.​org/​10.​1145/​2934495. 2949544
12.
Zurück zum Zitat Angepat H, Chiou D, Chung ES, Hoe JC (2014) FPGA-accelerated simulation of computer systems. Morgan and Claypool Publishers, CaliforniaCrossRef Angepat H, Chiou D, Chung ES, Hoe JC (2014) FPGA-accelerated simulation of computer systems. Morgan and Claypool Publishers, CaliforniaCrossRef
17.
Zurück zum Zitat Lotlikar S, Pai V, Gratz PV (2011) AcENoCs: A Configurable HW/SW Platform for FPGA Accelerated NoC Emulation. VLSID 2011:147–152 Lotlikar S, Pai V, Gratz PV (2011) AcENoCs: A Configurable HW/SW Platform for FPGA Accelerated NoC Emulation. VLSID 2011:147–152
18.
Zurück zum Zitat Wang D, Jerger NE, Steffan JG (2011) DART: A programmable architecture for NoC simulation on FPGAs. In: NOCS 2011, ACM, pp 145–152 Wang D, Jerger NE, Steffan JG (2011) DART: A programmable architecture for NoC simulation on FPGAs. In: NOCS 2011, ACM, pp 145–152
19.
Zurück zum Zitat Chu TV, Sato S, Kise K (2015) Ultra-fast NoC emulation on a single FPGA. FPL 2015:1–8 Chu TV, Sato S, Kise K (2015) Ultra-fast NoC emulation on a single FPGA. FPL 2015:1–8
20.
Zurück zum Zitat Papamichael MK, Hoe JC (2015) The CONNECT Network-on-Chip Generator. Computer 48(12):72–79CrossRef Papamichael MK, Hoe JC (2015) The CONNECT Network-on-Chip Generator. Computer 48(12):72–79CrossRef
21.
Zurück zum Zitat Kapre N, Gray J (2015) Hoplite: Building austere overlay NoCs for FPGAs. FPL 2015:1–8 Kapre N, Gray J (2015) Hoplite: Building austere overlay NoCs for FPGAs. FPL 2015:1–8
22.
Zurück zum Zitat Kamali HM, Hessabi S (2016) Adapnoc: A fast and flexible fpga-based noc simulator. In: 2016 26th international conference on field programmable logic and applications (FPL), pp 1–8, Kamali HM, Hessabi S (2016) Adapnoc: A fast and flexible fpga-based noc simulator. In: 2016 26th international conference on field programmable logic and applications (FPL), pp 1–8,
23.
Zurück zum Zitat Prabhu Prasad BM, Khyamling Parane, Basavaraj Talwar (2018) YaNoC: yet another network-on-chip simulation acceleration engine using FPGAs. VLSID 2018:67–72 Prabhu Prasad BM, Khyamling Parane, Basavaraj Talwar (2018) YaNoC: yet another network-on-chip simulation acceleration engine using FPGAs. VLSID 2018:67–72
24.
Zurück zum Zitat Abba S, Lee JA (2014) A parametric-based performance evaluation and design trade-offs for interconnect architectures using fpgas for networks-on-chip. Microprocess Microsyst 38(5):375–398CrossRef Abba S, Lee JA (2014) A parametric-based performance evaluation and design trade-offs for interconnect architectures using fpgas for networks-on-chip. Microprocess Microsyst 38(5):375–398CrossRef
25.
Zurück zum Zitat Wolkotte PT, Holzenspies PKF, Smit GJM (2007) Fast, Accurate and Detailed NoC Simulations. In: first international symposium on networks-on-chip (NOCS’07), pp 323–332 Wolkotte PT, Holzenspies PKF, Smit GJM (2007) Fast, Accurate and Detailed NoC Simulations. In: first international symposium on networks-on-chip (NOCS’07), pp 323–332
26.
Zurück zum Zitat Heck G, Guazzelli R, Moraes F, Calazans N, Soares R (2012) HardNoC: A platform to validate networks on chip through FPGA prototyping. In: 2012 VIII southern conference on programmable logic, pp 1–6 Heck G, Guazzelli R, Moraes F, Calazans N, Soares R (2012) HardNoC: A platform to validate networks on chip through FPGA prototyping. In: 2012 VIII southern conference on programmable logic, pp 1–6
27.
Zurück zum Zitat Genko N, Atienza D, Micheli GD, Mendias JM, Hermida R, Catthoor F (2005) A complete network-on-chip emulation framework. In: Design, Automation and Test in Europe, Vol 1, pp 246–251 Genko N, Atienza D, Micheli GD, Mendias JM, Hermida R, Catthoor F (2005) A complete network-on-chip emulation framework. In: Design, Automation and Test in Europe, Vol 1, pp 246–251
28.
Zurück zum Zitat Chethan KHB, Kapre N (2016) Hoplite-DSP: Harnessing the Xilinx DSP48 multiplexers to efficiently support NoCs on FPGAs. FPL 2016:1–10 Chethan KHB, Kapre N (2016) Hoplite-DSP: Harnessing the Xilinx DSP48 multiplexers to efficiently support NoCs on FPGAs. FPL 2016:1–10
29.
Zurück zum Zitat Prabhu Prasad B M, Khyamling Parane, Basavaraj Talwar (2019) High-performance NoCs employing the DSP48E1 blocks of the Xilinx FPGAs. In: 20th international symposium on quality electronic design (ISQED), pp 163–169 Prabhu Prasad B M, Khyamling Parane, Basavaraj Talwar (2019) High-performance NoCs employing the DSP48E1 blocks of the Xilinx FPGAs. In: 20th international symposium on quality electronic design (ISQED), pp 163–169
30.
Zurück zum Zitat Glass CJ, Ni LM (1992) The turn model for adaptive routing. ISCA 1992:278–287 Glass CJ, Ni LM (1992) The turn model for adaptive routing. ISCA 1992:278–287
Metadaten
Titel
FPGA friendly NoC simulation acceleration framework employing the hard blocks
verfasst von
B. M. Prabhu Prasad
Khyamling Parane
Basavaraj Talawar
Publikationsdatum
16.01.2021
Verlag
Springer Vienna
Erschienen in
Computing / Ausgabe 8/2021
Print ISSN: 0010-485X
Elektronische ISSN: 1436-5057
DOI
https://doi.org/10.1007/s00607-020-00901-x

Weitere Artikel der Ausgabe 8/2021

Computing 8/2021 Zur Ausgabe

Premium Partner