Skip to main content

2019 | OriginalPaper | Buchkapitel

4. Nonlinear Massive MIMO Signal Detection Algorithm

verfasst von : Leibo Liu, Guiqiang Peng, Shaojun Wei

Erschienen in: Massive MIMO Detection Algorithm and VLSI Architecture

Verlag: Springer Singapore

Aktivieren Sie unsere intelligente Suche, um passende Fachinhalte oder Patente zu finden.

search-config
loading …

Abstract

This chapter first introduces several conventional nonlinear MIMO signal detection algorithms in Sect. 4.1. The optimal nonlinear ML signal detection algorithm is introduced first, and then the SD signal detection algorithm and the K-Best signal detection algorithm evolved from the nonlinear ML signal detection algorithm are introduced. Section 4.2 presents a K-best signal detection and preprocessing algorithm in high-order MIMO systems, combining the Cholesky sorted QR decomposition and partial iterative lattice reduction (CHOSLAR). At the same time, the algorithm uses the partial iterative lattice reduction (PILR) algorithm to acquire more asymptotically orthogonal matrix R. After the preprocessing, the K-Best signal detector combined with ordering reduction and branch expansion can achieve the detection accuracy similar to that of ML signal detection algorithm. Section 4.3 presents another new signal detection algorithm, TASER algorithm. Based on semi-definite relaxation, the TASER algorithm can achieve the signal detection performance of approximate ML within the computational complexity of the polynomial (with the number of transmitting antennas or time slots as independent variables) in the system with low bit rate and fixed modulation scheme.

Sie haben noch keine Lizenz? Dann Informieren Sie sich jetzt über unsere Produkte:

Springer Professional "Wirtschaft+Technik"

Online-Abonnement

Mit Springer Professional "Wirtschaft+Technik" erhalten Sie Zugriff auf:

  • über 102.000 Bücher
  • über 537 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Finance + Banking
  • Management + Führung
  • Marketing + Vertrieb
  • Maschinenbau + Werkstoffe
  • Versicherung + Risiko

Jetzt Wissensvorsprung sichern!

Springer Professional "Technik"

Online-Abonnement

Mit Springer Professional "Technik" erhalten Sie Zugriff auf:

  • über 67.000 Bücher
  • über 390 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Maschinenbau + Werkstoffe




 

Jetzt Wissensvorsprung sichern!

Springer Professional "Wirtschaft"

Online-Abonnement

Mit Springer Professional "Wirtschaft" erhalten Sie Zugriff auf:

  • über 67.000 Bücher
  • über 340 Zeitschriften

aus folgenden Fachgebieten:

  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Finance + Banking
  • Management + Führung
  • Marketing + Vertrieb
  • Versicherung + Risiko




Jetzt Wissensvorsprung sichern!

Literatur
1.
Zurück zum Zitat Dai L, Gao X, Su X et al (2015) Low-complexity soft-output signal detection based on Gauss-Seidel method for uplink multiuser large-scale MIMO systems. IEEE Trans Veh Technol 64(10):4839–4845CrossRef Dai L, Gao X, Su X et al (2015) Low-complexity soft-output signal detection based on Gauss-Seidel method for uplink multiuser large-scale MIMO systems. IEEE Trans Veh Technol 64(10):4839–4845CrossRef
2.
Zurück zum Zitat Studer C, Fateh S, Seethaler D (2011) ASIC implementation of soft-input soft-output MIMO detection using MMSE parallel interference cancellation. IEEE J Solid-State Circuits 46(7):1754–1765CrossRef Studer C, Fateh S, Seethaler D (2011) ASIC implementation of soft-input soft-output MIMO detection using MMSE parallel interference cancellation. IEEE J Solid-State Circuits 46(7):1754–1765CrossRef
3.
Zurück zum Zitat Wu M, Yin B, Wang G et al (2014) Large-scale MIMO detection for 3GPP LTE: algorithms and FPGA implementations. IEEE J Sel Top Sign Proces 8(5):916–929CrossRef Wu M, Yin B, Wang G et al (2014) Large-scale MIMO detection for 3GPP LTE: algorithms and FPGA implementations. IEEE J Sel Top Sign Proces 8(5):916–929CrossRef
4.
Zurück zum Zitat Peng G, Liu L, Zhou S et al (2017) A 1.58 Gbps/W 0.40 Gbps/mm2 ASIC implementation of MMSE detection for $128x8$ 64-QAM massive MIMO in 65 nm CMOS. IEEE Trans Circuits Syst I Regul Pap PP(99):1–14 Peng G, Liu L, Zhou S et al (2017) A 1.58 Gbps/W 0.40 Gbps/mm2 ASIC implementation of MMSE detection for $128x8$ 64-QAM massive MIMO in 65 nm CMOS. IEEE Trans Circuits Syst I Regul Pap PP(99):1–14
5.
Zurück zum Zitat Peng G, Liu L, Zhang P et al (2017) Low-computing-load, high-parallelism detection method based on Chebyshev iteration for massive MIMO systems with VLSI architecture. IEEE Trans Signal Process 65(14):3775–3788MathSciNetMATHCrossRef Peng G, Liu L, Zhang P et al (2017) Low-computing-load, high-parallelism detection method based on Chebyshev iteration for massive MIMO systems with VLSI architecture. IEEE Trans Signal Process 65(14):3775–3788MathSciNetMATHCrossRef
6.
Zurück zum Zitat Gao X, Dai L, Hu Y et al (2015) Low-complexity signal detection for large-scale MIMO in optical wireless communications. IEEE J Sel Areas Commun 33(9):1903–1912CrossRef Gao X, Dai L, Hu Y et al (2015) Low-complexity signal detection for large-scale MIMO in optical wireless communications. IEEE J Sel Areas Commun 33(9):1903–1912CrossRef
7.
Zurück zum Zitat Chu X, Mcallister J (2012) Software-defined sphere decoding for FPGA-based MIMO detection. IEEE Trans Signal Process 60(11):6017–6026MathSciNetMATHCrossRef Chu X, Mcallister J (2012) Software-defined sphere decoding for FPGA-based MIMO detection. IEEE Trans Signal Process 60(11):6017–6026MathSciNetMATHCrossRef
8.
Zurück zum Zitat Huang ZY, Tsai PY (2011) Efficient implementation of QR decomposition for gigabit MIMO-OFDM systems. IEEE Trans Circuits Syst I Regul Pap 58(10):2531–2542MathSciNetCrossRef Huang ZY, Tsai PY (2011) Efficient implementation of QR decomposition for gigabit MIMO-OFDM systems. IEEE Trans Circuits Syst I Regul Pap 58(10):2531–2542MathSciNetCrossRef
9.
Zurück zum Zitat Peng G, Liu L, Zhou S et al (2018). Algorithm and architecture of a low-complexity and high-parallelism preprocessing-based K-best detector for large-scale MIMO systems. IEEE Trans Sig Process PP(99):1 Peng G, Liu L, Zhou S et al (2018). Algorithm and architecture of a low-complexity and high-parallelism preprocessing-based K-best detector for large-scale MIMO systems. IEEE Trans Sig Process PP(99):1
10.
Zurück zum Zitat Castañeda O, Goldstein T, Studer C (2016) Data detection in large multi-antenna wireless systems via approximate semidefinite relaxation. IEEE Trans Circuits Syst I Reg Pap PP(99):1–13 Castañeda O, Goldstein T, Studer C (2016) Data detection in large multi-antenna wireless systems via approximate semidefinite relaxation. IEEE Trans Circuits Syst I Reg Pap PP(99):1–13
11.
Zurück zum Zitat Soma U, Tipparti AK, Kunupalli SR Improved performance of low complexity K-best sphere decoder algorithm. In: International Conference on Inventive Communication and Computational Technologies, pp 490–495 Soma U, Tipparti AK, Kunupalli SR Improved performance of low complexity K-best sphere decoder algorithm. In: International Conference on Inventive Communication and Computational Technologies, pp 490–495
12.
Zurück zum Zitat Fincke U, Pohst M (1985) Improved methods for calculating vectors of short length in a lattice, including a complexity analysis. Math Comput 44(170):463–471MathSciNetMATHCrossRef Fincke U, Pohst M (1985) Improved methods for calculating vectors of short length in a lattice, including a complexity analysis. Math Comput 44(170):463–471MathSciNetMATHCrossRef
13.
Zurück zum Zitat Barbero LG, Thompson JS (2006) Performance analysis of a fixed-complexity sphere decoder in high-dimensional mimo systems. In: Proceedings of the IEEE International Conference on Acoustics Speech and Signal Processing, p IV Barbero LG, Thompson JS (2006) Performance analysis of a fixed-complexity sphere decoder in high-dimensional mimo systems. In: Proceedings of the IEEE International Conference on Acoustics Speech and Signal Processing, p IV
14.
Zurück zum Zitat Shen CA, Eltawil AM (2010) A radius adaptive K-best decoder with early termination: algorithm and VLSI architecture. IEEE Trans Circuits Syst I Regul Pap 57(9):2476–2486MathSciNetCrossRef Shen CA, Eltawil AM (2010) A radius adaptive K-best decoder with early termination: algorithm and VLSI architecture. IEEE Trans Circuits Syst I Regul Pap 57(9):2476–2486MathSciNetCrossRef
15.
Zurück zum Zitat Burg A, Borgmann M, Wenk M et al (2005) VLSI implementation of MIMO detection using the sphere decoding algorithm. IEEE J Solid-State Circuits 40(7):1566–1577CrossRef Burg A, Borgmann M, Wenk M et al (2005) VLSI implementation of MIMO detection using the sphere decoding algorithm. IEEE J Solid-State Circuits 40(7):1566–1577CrossRef
16.
Zurück zum Zitat Taherzadeh M, Mobasher A, Khandani AK (2006) LLL reduction achieves the receive diversity in MIMO decoding. IEEE Trans Inf Theory 53(12):4801–4805MathSciNetMATHCrossRef Taherzadeh M, Mobasher A, Khandani AK (2006) LLL reduction achieves the receive diversity in MIMO decoding. IEEE Trans Inf Theory 53(12):4801–4805MathSciNetMATHCrossRef
17.
Zurück zum Zitat Barbero LG, Thompson JS (2008) Fixing the complexity of the sphere decoder for MIMO detection. IEEE Trans Wireless Commun 7(6):2131–2142CrossRef Barbero LG, Thompson JS (2008) Fixing the complexity of the sphere decoder for MIMO detection. IEEE Trans Wireless Commun 7(6):2131–2142CrossRef
18.
Zurück zum Zitat Xiong C, Zhang X, Wu K et al (2009) A simplified fixed-complexity sphere decoder for V-BLAST systems. IEEE Commun Lett 13(8):582–584CrossRef Xiong C, Zhang X, Wu K et al (2009) A simplified fixed-complexity sphere decoder for V-BLAST systems. IEEE Commun Lett 13(8):582–584CrossRef
19.
Zurück zum Zitat Khairy MS, Abdallah MM, Habib ED (2009) Efficient FPGA implementation of MIMO decoder for mobile WiMAX system. In: IEEE International Conference on Communications, pp 2871–2875 Khairy MS, Abdallah MM, Habib ED (2009) Efficient FPGA implementation of MIMO decoder for mobile WiMAX system. In: IEEE International Conference on Communications, pp 2871–2875
20.
Zurück zum Zitat Liao CF, Wang JY, Huang YH (2014) A 3.1 Gb/s 8*8 sorting reduced K-best detector with lattice reduction and QR decomposition. IEEE Trans Very Large Scale Integr Syst 22(12):2675–2688 Liao CF, Wang JY, Huang YH (2014) A 3.1 Gb/s 8*8 sorting reduced K-best detector with lattice reduction and QR decomposition. IEEE Trans Very Large Scale Integr Syst 22(12):2675–2688
21.
Zurück zum Zitat Fujino T, Wakazono S, Sasaki Y (2009) A gram-schmidt based lattice-reduction aided MMSE detection in MIMO Systems. 1–8 Fujino T, Wakazono S, Sasaki Y (2009) A gram-schmidt based lattice-reduction aided MMSE detection in MIMO Systems. 1–8
22.
Zurück zum Zitat Yan Z, He G, Ren Y et al (2015) Design and implementation of flexible dual-mode soft-output MIMO detector with channel preprocessing. IEEE Trans Circuits Syst I Regul Pap 62(11):2706–2717MathSciNetCrossRef Yan Z, He G, Ren Y et al (2015) Design and implementation of flexible dual-mode soft-output MIMO detector with channel preprocessing. IEEE Trans Circuits Syst I Regul Pap 62(11):2706–2717MathSciNetCrossRef
23.
Zurück zum Zitat Sarieddeen H, Mansour MM, Jalloul L et al (2017) High order multi-user MIMO subspace detection. J Sign Process Syst 1:1–17 Sarieddeen H, Mansour MM, Jalloul L et al (2017) High order multi-user MIMO subspace detection. J Sign Process Syst 1:1–17
24.
Zurück zum Zitat Zhang C, Liu L, Marković D et al (2015) A heterogeneous reconfigurable cell array for MIMO signal processing. IEEE Trans Circuits Syst I Regul Pap 62(3):733–742MathSciNetCrossRef Zhang C, Liu L, Marković D et al (2015) A heterogeneous reconfigurable cell array for MIMO signal processing. IEEE Trans Circuits Syst I Regul Pap 62(3):733–742MathSciNetCrossRef
25.
Zurück zum Zitat Chiu PL, Huang LZ, Chai LW et al (2011) A 684Mbps 57mW joint QR decomposition and MIMO processor for 4×4 MIMO-OFDM systems. In: Solid State Circuits Conference, pp 309–312 Chiu PL, Huang LZ, Chai LW et al (2011) A 684Mbps 57mW joint QR decomposition and MIMO processor for 4×4 MIMO-OFDM systems. In: Solid State Circuits Conference, pp 309–312
26.
Zurück zum Zitat Kurniawan IH, Yoon JH, Park J (2013) Multidimensional householder based high-speed QR decomposition architecture for MIMO receivers. In: IEEE International Symposium on Circuits and Systems, pp 2159–2162 Kurniawan IH, Yoon JH, Park J (2013) Multidimensional householder based high-speed QR decomposition architecture for MIMO receivers. In: IEEE International Symposium on Circuits and Systems, pp 2159–2162
27.
Zurück zum Zitat Wang JY, Lai RH, Chen CM et al (2010) A 2x2—8x8 sorted QR decomposition processor for MIMO detection. Inst Electr Electron Eng Wang JY, Lai RH, Chen CM et al (2010) A 2x2—8x8 sorted QR decomposition processor for MIMO detection. Inst Electr Electron Eng
28.
Zurück zum Zitat Sarieddeen H, Mansour MM, Chehab A (2016) Efficient subspace detection for high-order MIMO systems. In: The IEEE International Conference on Acoustics, Speech and Signal Processing Sarieddeen H, Mansour MM, Chehab A (2016) Efficient subspace detection for high-order MIMO systems. In: The IEEE International Conference on Acoustics, Speech and Signal Processing
29.
Zurück zum Zitat Liu T, Zhang JK, Wong KM (2009) Optimal precoder design for correlated MIMO communication systems using zero-forcing decision feedback equalization. IEEE Trans Signal Process 57(9):3600–3612MathSciNetMATHCrossRef Liu T, Zhang JK, Wong KM (2009) Optimal precoder design for correlated MIMO communication systems using zero-forcing decision feedback equalization. IEEE Trans Signal Process 57(9):3600–3612MathSciNetMATHCrossRef
30.
Zurück zum Zitat Zhang C, Prabhu H, Liu Y et al (2015) Energy efficient group-sort QRD processor with on-line update for MIMO channel pre-processing. IEEE Trans Circuits Syst I Regul Pap 62(5):1220–1229MathSciNetCrossRef Zhang C, Prabhu H, Liu Y et al (2015) Energy efficient group-sort QRD processor with on-line update for MIMO channel pre-processing. IEEE Trans Circuits Syst I Regul Pap 62(5):1220–1229MathSciNetCrossRef
31.
Zurück zum Zitat Yang S, Hanzo L (2013) Exact Bayes’ theorem based probabilistic data association for iterative MIMO detection and decoding. In: Global Communications Conference, pp 1891–1896 Yang S, Hanzo L (2013) Exact Bayes’ theorem based probabilistic data association for iterative MIMO detection and decoding. In: Global Communications Conference, pp 1891–1896
32.
Zurück zum Zitat Chen Y, Halbauer H, Jeschke M et al (2010) An efficient Cholesky Decomposition based multiuser MIMO detection algorithm. In: IEEE International Symposium on Personal Indoor and Mobile Radio Communications, pp 499–503 Chen Y, Halbauer H, Jeschke M et al (2010) An efficient Cholesky Decomposition based multiuser MIMO detection algorithm. In: IEEE International Symposium on Personal Indoor and Mobile Radio Communications, pp 499–503
33.
Zurück zum Zitat Xue Y, Zhang C, Zhang S et al (2016) Steepest descent method based soft-output detection for massive MIMO uplink. In: IEEE International Workshop on Signal Processing Systems, pp 273–278 Xue Y, Zhang C, Zhang S et al (2016) Steepest descent method based soft-output detection for massive MIMO uplink. In: IEEE International Workshop on Signal Processing Systems, pp 273–278
34.
Zurück zum Zitat Jiang W, Asai Y, Kubota S (2015) A novel detection scheme for MIMO spatial multiplexing systems with partial lattice reduction. In: IEEE International Symposium on Personal, Indoor and Mobile Radio Communications, pp 2524–2528 Jiang W, Asai Y, Kubota S (2015) A novel detection scheme for MIMO spatial multiplexing systems with partial lattice reduction. In: IEEE International Symposium on Personal, Indoor and Mobile Radio Communications, pp 2524–2528
35.
Zurück zum Zitat Mansour MM, Jalloul LMA (2015) Optimized configurable architectures for scalable soft-input soft-output MIMO detectors with 256-QAM. IEEE Trans Signal Process 63(18):4969–4984MathSciNetMATHCrossRef Mansour MM, Jalloul LMA (2015) Optimized configurable architectures for scalable soft-input soft-output MIMO detectors with 256-QAM. IEEE Trans Signal Process 63(18):4969–4984MathSciNetMATHCrossRef
36.
Zurück zum Zitat Luo ZQ, Ma WK, So MC et al (2010) Semidefinite relaxation of quadratic optimization problems. IEEE Signal Process Mag 27(3):20–34CrossRef Luo ZQ, Ma WK, So MC et al (2010) Semidefinite relaxation of quadratic optimization problems. IEEE Signal Process Mag 27(3):20–34CrossRef
37.
Zurück zum Zitat Alshamary HAJ, Anjum MF, Alnaffouri T et al (2015) Optimal non-coherent data detection for massive SIMO wireless systems with general constellations: a polynomial complexity solution. In: Signal Processing and Signal Processing Education Workshop, pp 172–177 Alshamary HAJ, Anjum MF, Alnaffouri T et al (2015) Optimal non-coherent data detection for massive SIMO wireless systems with general constellations: a polynomial complexity solution. In: Signal Processing and Signal Processing Education Workshop, pp 172–177
38.
Zurück zum Zitat Jalden J, Ottersten B (2008) The diversity order of the semidefinite relaxation detector. IEEE Trans Inf Theory 54(4):1406–1422MathSciNetMATHCrossRef Jalden J, Ottersten B (2008) The diversity order of the semidefinite relaxation detector. IEEE Trans Inf Theory 54(4):1406–1422MathSciNetMATHCrossRef
39.
Zurück zum Zitat Harbrecht H, Peters M, Schneider R (2012) On the low-rank approximation by the pivoted Cholesky decomposition. Appl Numer Math 62(4):428–440MathSciNetMATHCrossRef Harbrecht H, Peters M, Schneider R (2012) On the low-rank approximation by the pivoted Cholesky decomposition. Appl Numer Math 62(4):428–440MathSciNetMATHCrossRef
40.
Zurück zum Zitat Goldstein T, Studer C, Baraniuk R (2014) A field guide to forward-backward splitting with a FASTA implementation. Computer Science Goldstein T, Studer C, Baraniuk R (2014) A field guide to forward-backward splitting with a FASTA implementation. Computer Science
41.
Zurück zum Zitat Beck A, Teboulle M (2009) A fast iterative shrinkage-thresholding algorithm for linear inverse problems. Siam J Imaging Sci 2(1):183–202MathSciNetMATHCrossRef Beck A, Teboulle M (2009) A fast iterative shrinkage-thresholding algorithm for linear inverse problems. Siam J Imaging Sci 2(1):183–202MathSciNetMATHCrossRef
43.
Zurück zum Zitat Attouch H, Bolte J, Svaiter BF (2013) Convergence of descent methods for semi-algebraic and tame problems: proximal algorithms, forward–backward splitting, and regularized Gauss-Seidel methods. Math Program 137(1–2):91–129MathSciNetMATHCrossRef Attouch H, Bolte J, Svaiter BF (2013) Convergence of descent methods for semi-algebraic and tame problems: proximal algorithms, forward–backward splitting, and regularized Gauss-Seidel methods. Math Program 137(1–2):91–129MathSciNetMATHCrossRef
44.
Zurück zum Zitat Boumal N (2015) A Riemannian low-rank method for optimization over semidefinite matrices with block-diagonal constraints. Mathematics 1001–1005 Boumal N (2015) A Riemannian low-rank method for optimization over semidefinite matrices with block-diagonal constraints. Mathematics 1001–1005
45.
Zurück zum Zitat Wenk M, Zellweger M, Burg A et al (2006) K-best MIMO detection VLSI architectures achieving up to 424 Mbps. In: Proceedings of the IEEE International Symposium on Circuits and Systems, 2006. ISCAS 2006, pp 4–1154 Wenk M, Zellweger M, Burg A et al (2006) K-best MIMO detection VLSI architectures achieving up to 424 Mbps. In: Proceedings of the IEEE International Symposium on Circuits and Systems, 2006. ISCAS 2006, pp 4–1154
46.
Zurück zum Zitat Rusek F, Persson D, Lau BK et al (2012) Scaling up MIMO: opportunities and challenges with very large arrays. Sig Process Mag IEEE 30(1):40–60CrossRef Rusek F, Persson D, Lau BK et al (2012) Scaling up MIMO: opportunities and challenges with very large arrays. Sig Process Mag IEEE 30(1):40–60CrossRef
47.
Zurück zum Zitat Yin B, Wu M, Cavallaro JR et al (2015) VLSI design of large-scale soft-output MIMO detection using conjugate gradients. In: IEEE International Symposium on Circuits and Systems, pp 1498–1501 Yin B, Wu M, Cavallaro JR et al (2015) VLSI design of large-scale soft-output MIMO detection using conjugate gradients. In: IEEE International Symposium on Circuits and Systems, pp 1498–1501
48.
Zurück zum Zitat Wong KW, Tsui CY, Cheng SK et al (2002) A VLSI architecture of a K-best lattice decoding algorithm for MIMO channels. IEEE Int Symp Circuits Syst 3:273–276 Wong KW, Tsui CY, Cheng SK et al (2002) A VLSI architecture of a K-best lattice decoding algorithm for MIMO channels. IEEE Int Symp Circuits Syst 3:273–276
49.
Zurück zum Zitat Wu M, Dick C, Cavallaro JR et al (2016) FPGA design of a coordinate descent data detector for large-scale MU-MIMO. In: IEEE International Symposium on Circuits and Systems, pp 1894–1897 Wu M, Dick C, Cavallaro JR et al (2016) FPGA design of a coordinate descent data detector for large-scale MU-MIMO. In: IEEE International Symposium on Circuits and Systems, pp 1894–1897
50.
Zurück zum Zitat Wu Z, Zhang C, Xue Y et al (2016) Efficient architecture for soft-output massive MIMO detection with Gauss-Seidel method. In: IEEE International Symposium on Circuits and Systems, pp 1886–1889 Wu Z, Zhang C, Xue Y et al (2016) Efficient architecture for soft-output massive MIMO detection with Gauss-Seidel method. In: IEEE International Symposium on Circuits and Systems, pp 1886–1889
Metadaten
Titel
Nonlinear Massive MIMO Signal Detection Algorithm
verfasst von
Leibo Liu
Guiqiang Peng
Shaojun Wei
Copyright-Jahr
2019
Verlag
Springer Singapore
DOI
https://doi.org/10.1007/978-981-13-6362-7_4

Neuer Inhalt